• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(105)
  • 리포트(73)
  • 자기소개서(29)
  • 이력서(2)
  • 시험자료(1)

"VHDL을 이용한 전자시" 검색결과 81-100 / 105건

  • 한글파일 [9주차] DIGITAL CLOCK
    과 목 : 논리회로설계실험 과 제 명 : Digital Clock 설계 담당교수 : 정일섭 교수님 학 과 : 전자전기공학과 학 년 : 3학년 이 름 : 제 출 일 : 논리회로설계실험 ... 3000 LoV-Lab 3000은 회로 구현 및 실제 동작 테스트에 이르는 전과정에 필요한 Kit와 각 모듈을 분리 및 재조립하여 역동적인 실습이 가능한 로봇으로 구성되어 있어 VHDL ... clk'event ) then s01_cnt := s01_cnt + 1cnt := "0001"; -- 시의 10의자리가 1이고 시의 1의자리가 2가 넘게 되면, 시의 10자리가 0 시의
    리포트 | 13페이지 | 2,000원 | 등록일 2012.06.30
  • 한글파일 임베디드 시스템 활용 (학교교실)
    ◎활용방안 - 전자잉크를 이용하여 현재 우리 실험실에서 배우고 있는 vhdl를 손쉽게 제작가능 할 것입니다. ... (수업시 출석 체크할 시간 절약하여 수업시간 늘릴 수 있는 장점.) 지각 시간 자동 체크도 가능. ... ◎내용 - 전자잉크는 입자의 전자기적 성질을 이용해서 만든 것으로 이를 통해 인쇄된 글자의 형태를 수시로 바꿀 수 있는 기능을 가지고 있다.
    리포트 | 13페이지 | 3,900원 | 등록일 2010.09.08
  • 파일확장자 VHDL을 이용한 비만도 체크 체중계
    VHDL - 전체적인 회로도 분석, 설계 역할 분담 조원 모두 참여 090520~ 090525 VHDL 언어의 사용 방법 습득 - VHDL을 이용한 회로 설계 방법에 관한 필요한 사항을 ... 사용된 툴 :MAX+Plus II 담당교수 : 박XX 교수님 학과 : 전자시스템 공학 참가인원 / 학번 : 김XX(X0329290) 김XX ... PAGE:2 주제 선정 배경 비만도 체크 체중계 (Specification) 역할 및 임무 분담 설계 과정 시뮬레이션 결과 검토 및 고찰 Q & A ..PAGE:3 거리 감지 센서를 이용한
    리포트 | 29페이지 | 4,000원 | 등록일 2009.07.05
  • 워드파일 VHDL M bit 가산기와 비교기(post lab 입니다) vhdl code
    전자전기컴퓨터설계실험III POSTLAB REPORT [M bit 가산기와 비교기] 학 과 담당교수 조 학 번 이 름 제 출 일 목 차 HYPERLINK \l "실험소개" 1. ... VHDL 및 FPGA 실습, Xilinx ISE 6.3i 시리즈 활용, EDA-Lab 3000 시리즈 활용, 개정판, 홍릉과학출판사, 2005년. ... 실 험 결 과 (Result) 1) 4-Bit Adder Emulation Results HYPERLINK \l "behavioralsource" 2) 4-Bit Comparator
    리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • 워드파일 VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 초기 사용법 입니다.)
    우리는 VHDL을 이용한 디지털 회로 설계를 관리하는 project의 생성과 관리 그리고 시뮬레이션과 에뮬레이션을 통하여 실습의 전체적인 흐름을 파악하도록 한다. ... 전자전기컴퓨터설계실험III PRELAB REPORT [The Usage of Xilinx ISE on Spartan-3] 학 과 담당교수 조 학 번 이 름 제 출 일 목 차 HYPERLINK ... 실 험 방 법 (Meterials & Methods) HYPERLINK \l "실험도구" 1) 실 험 도 구 HYPERLINK \l "method" 2) Method of the experiment
    리포트 | 19페이지 | 2,000원 | 등록일 2008.09.28
  • 한글파일 [한국소프트웨어진흥원 서류 통과] 자기소개서
    지 원 동 기 이전 회사에서 SW 개발 시 모듈화나 플랫폼 독립성 및 최적화를 고려하여 SW을 설계했지만 실제로 모듈 재사용이나 플랫폼 변경시 이식성 문제나 호환성, 체계적이지 않은 ... ++ (expert) - Assembler (expert) - UML (intermediate) - Perl (beginner) - Java (beginner) - Verilog/VHDL ... 석사과정 진행 프로젝트 1) MPEG-4 CELP의 DSP 구현을 위한 고정소수점 연산구조 구현(삼성전자 위탁) - MPEG-4 CELP 표준에 의거한 고정소수점 연산구조 음성 코더
    자기소개서 | 3페이지 | 3,000원 | 등록일 2010.04.28
  • 한글파일 VHDL이용한 디지털 시계
    (시계에서 09시 다음이 10시라는 점과 12시 다음에 01시라는 특별한 경우를 따로 설정하여 구현하였다. ) - Simulation을 위해 New Source에서 Testbench ... 과 목 : 논리회로설계실험 과 제 명 : 디지털 시계 담당교수 : 김 종 태 학 과 : 전자전기공학 학 년 : 3 학 년 학 번 : 이 름 : 제 출 일 : 08. 05. 21 Introduction ... ; SEG_F : out STD_LOGIC; SEG_G : out STD_LOGIC; SEG_DP : out STD_LOGIC); end digital_clock; ( VHDL Modual
    리포트 | 19페이지 | 1,000원 | 등록일 2010.05.27
  • 한글파일 FPGA를 이용한 신호등구현
    일반적인 FPGA로는 ALTERA, Actel, QuickLogic, Xilinx 등 다양하지만 ALTERA칩을 이용한 한백전자의 HBE-COMBO면 Simulation이나 하드웨어 ... 이에 따라 주문형 반도체인 ASIC(Application Specific Intergrated Circuit)의 시장이 날로 증가하고 있는 추세이다. ... 구현까지 할 수 있으므로, HBE-COMBO(EP1K100QC208-3)을 이용하였다.
    리포트 | 52페이지 | 1,500원 | 등록일 2007.08.08
  • 한글파일 VHDL이용한 LCD 설계
    과 목 : 논리회로설계실험 과 제 명 : LCD 담당교수 : 김 종 태 학 과 : 전자전기공학 학 년 : 3 학 년 학 번 : 이 름 : 제 출 일 : 08. 05. 28 Introduction ... KIT를 사용하여 실습한지도 여러 번이다 보니 이제 KIT의 설정 값을 넣어주는 부분이나 KIT작동 시 오류가 발생하는 부분은 쉽게 수정할 수 있어졌듯이 LCD로 여러 번의 반복적은 ... STD_LOGIC_VECTOR(1 downto 0); LCD_EN : out STD_LOGIC; LCD_D : out STD_LOGIC_VECTOR(7 downto 0)); end LCD_TEST; ( VHDL
    리포트 | 16페이지 | 1,000원 | 등록일 2010.05.27
  • 한글파일 취업으로 향하는 자소서(10대그룹 및 여러 회사 자소서)
    제가 접해본 실험으로는 Ball Levitator, 도립진자의 제어분야와 온도센서, 광센서, 초음파센서 등의 동작을 이해해본 센서분야, Pspisce와 VHDL을 통한 디지털 회로 ... 저에게 새롭게 열릴 삼성로지텍의 기업문화에 빠르게 적응하여 저의 장점을 최대한 활용하고 약점은 보완하여 기업 발전에 노력하겠습니다. -31----서울 특별시 지하철공사------ 1 ... 초등학교 시절부터 고등학교 시절까지는 잦은 이사로 인해 혼자 대중교통수단을 이용해서 통학을 하여 불편함이 있었습니다.
    자기소개서 | 90페이지 | 3,000원 | 등록일 2009.12.20
  • 워드파일 M bit 가산기와 비교기(VHDL코드)
    전자전기컴퓨터설계실험Ⅲ M bit 가산기와 비교기 목 차 HYPERLINK \l "실험목적" 실험 목적 HYPERLINK \l "실험도구" 실험 도구 HYPERLINK \l "이론및프리랩 ... 그리고, Behavioral VHDL 모델과, Data Flow모델을 각각 사용해서 원하는 결과값을 얻을 수 있도록 구현해본다. ... Spartan-3 Board, JTAG cables 이론 및 프리랩 PRELAB Don’t describe the full adder and half adder (조교들이 demo 시
    리포트 | 18페이지 | 2,000원 | 등록일 2008.06.01
  • 한글파일 [대구기능대학][대구기능대학 연혁][대구기능대학 학교조직][대구기능대학 학과소개][대구기능대학 견학 후기]대구기능대학의 연혁, 대구기능대학의 학교조직, 대구기능대학의 학과소개, 대구기능대학의 견학 후기
    , 제어기기실험, 센서회로실습, CAD실습, 프로그래밍언어실습, 정보통신실습, 전자회로시뮬레이션, 디지털 논리회로설계실습(FPGA), VHDL)의 교과목을 가르치며 전자부품 및 제품 ... 명칭 개칭 2000. 4 중기청 산학연 공동기술개발 컨소시엄 사업자 선정 2000. 11. 1 2000년도 전국 최우수 교육훈련기관 선정 대통령표창 수상 2001. 3. 9 대구광역시 ... 컴퓨터 응용기계과 CAD/CAM 시스템을 응용하여 기계제품의 설계, 제작을 위한 가공용 프로그램을 작성하고, CNC 공작기계 및 기타 기계를 이용하여 각종 기계, 금형부품을 생산할
    리포트 | 6페이지 | 5,000원 | 등록일 2009.03.10
  • 한글파일 [VLSI] VHDL을 이용한 전자시
    클럭 제너레이터 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity clk_gen is port(clk,rst:in std_logic; clk100: out std_logi..
    리포트 | 24페이지 | 무료 | 등록일 2002.06.16
  • 한글파일 졸업논문 - OLED의 특성
    이 논문은 STN LCD나 TFT LCD보다 전력소모나, 화상도에서 우위를 차지하는 OLED의 디지털 블록을 SYNOPSYS TM 의 VHDL 및 Design Analysis를 이용하여 ... Standby mode off/on : 동작 시 digital 블록만 동작을 하게 된다. ... 병렬데이터가 전송되면 내부 Bus에 의해 전달 동작되지만 직렬데이터가 들RT, PDP 그리고 전계를 이용한 FED는 높은 전압을 이용하여 화소를 나타낸다.
    리포트 | 20페이지 | 8,000원 | 등록일 2007.12.11 | 수정일 2015.01.25
  • 한글파일 [ASIC] SIC
    ASIC Library + Interface Software ☞ HDL(Hardware Description Language) : HDL은 문서화, 모의시험 및 논리합성을 위해 전자회로의 ... 테크놀러지별로 Metal1,Metal2, Poly등의 값들을 정의. ☞ DFT : Design For Testability 테스트 용이화 설계(DFT)는 회로 설계 단계 시, 논리회로의 ... 업체별로 많은 HDL들이 개발되 왔지만 Verilog 와 VHDL이 주요 표준이다. ☞ ASIC Synthesizer VHDL, Verilog를 최적화된 Gate Level로 변환하는
    리포트 | 5페이지 | 1,000원 | 등록일 2003.11.17
  • 한글파일 [디지털 전자통신]OrCAD
    Aided Design -Computer를 이용한 설계)를 사용하게 되었다. ... 업그레이드를 miss하는 경우 수동으로 불일치 된 part 데이터를 수정해야 하지만 Capture CIS는 경고 메시지를 내어 올바른 데이터로 수정하게 하여 디자인 문제나 구매 시 ... 전자회로 설계의 환경이 복잡 다단해짐에 따라 EDA 제품들은 더욱 높은 기능이 요구되고 있다.
    리포트 | 5페이지 | 1,500원 | 등록일 2004.10.08
  • 한글파일 [마이크로프로세스] 로봇 ARM
    급히 트레이닝 키트(한백전자 Flex10k20rc240-4)를 이용해 전시하긴 했으나 이미 제작한 부가적인 회로는 아무것도 장착 수가 없었다. 2.연구방향제시 보다 안정적인 시스템의 ... 이것은 사용자가 직접 명령을 메모리해서 무한루프 시 로봇의 Arm 부분의 4관절을 제어하는 회로이다. ... 이번 졸업 작품의 주제는 로봇 Arm System의 싱글칩 구현 으로, CPDL 칩과 알테라 사의 툴 'Max Plus 2'와 VHDL을 이용하여 RC 서보모터를 구동시켜 동작케 하는
    리포트 | 18페이지 | 2,500원 | 등록일 2002.09.24
  • 한글파일 [디지털, 통신]ORCAD에 대하여
    전자회로 설계프로그램(Electronic CAD)은 대부분 기존의 전기, 전자 정보를 갖고 있는 library를 불러들여 전자회로 설계를 구성하게 된다. ... -기구설계프로그램을 연계하기 위한 Auto CAD, Genertic CAD등에 필요한 DXF파일을 netlist작성 시에 생성할 수 있으며 EDIF, VHDL, Verilog H과 ... 또한 공통점이라고 할 수 있는 것은 CAD프로그램은 최종적으로 파일로서 생성된다는 것이며 컴퓨터를 이용하므로 사용자의 작업이 수작업보다 수월하다는 것이다.
    리포트 | 3페이지 | 1,500원 | 등록일 2004.10.08
  • 한글파일 [전자공학 디지털공학] spice에 대하여
    SPICE(Simulation Program with Integrated Circuit Emphasis)는 컴퓨터를 이용하여 전기, 전자, 디지털회로의 해석 및 설계를 위해 1972년 ... Lawrence Nagel이 미국 버클리 대학 재학시 박사학위 논문으로 Spice를 발표하였다. ... 나머지 Design이나 VHDL File은 단지 회로 설계에만 관련된 것이므로 선택하지 않는다. ② New Project 대화 상자가 나온다 Name란에 프로젝트 이름을 써 넣는다
    리포트 | 6페이지 | 1,000원 | 등록일 2004.10.08
  • 한글파일 [자기소개서]취업을 위한 이력서
    그리고, OrCad와 PSpice, Matlab, Cemtool, C, Visual Basic, VHDL HTML등을 비롯하여 여러 가지 Package와 Tool들을 사용할 수 있으며 ... 때는 비디오공학과 디스플레이공학 등을 배우면서 A/V시스템에 관심이 많았으며, 대학원에서는 시스템제어, 자동제어를 전공하여 제어기, 추정기, 식별기의 설계와 마이크로 프로세서를 이용한 ... 이 력 서 성 명 김정욱(金正煜) 주 민 등 록 번 호 760229-xxxxxx 생년월일 1976 년 2 월 29 일생 (만 26 세) 주 소 대구광역시 xxxx xxxx (연락처
    이력서 | 2페이지 | 500원 | 등록일 2006.01.12
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업