• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(105)
  • 리포트(73)
  • 자기소개서(29)
  • 이력서(2)
  • 시험자료(1)

"VHDL을 이용한 전자시" 검색결과 21-40 / 105건

  • 한글파일 서강대학교 디지털논리회로실험 - 실험 2. Digital Logic Gates 예비 보고서
    , 2009 2) 서강대학교 전자공학과, 디지털 논리회로 실험, 서강대학교, 2017 ... 관련 이론 1) TTL (Transistor Transistor Logic) 반도체를 이용하여 구현한 논리회로의 한 종류이다. ... [그림 5]와 같이 Anode(+)에 서 Cathode(-) 쪽으로 전류가 흐르며 회로에서 사용시 방향에 주의해야한다. [그림 5] 1N4148 4.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 (합격자소서)대한상공회의소 공장자동화
    대학 4학년 때, 전자공학실험 수업으로 FPGA기반 VHDL을 이용한 라인트레이서 프로젝트를 수행하였습니다. ... 이러한 점을 극복하기 위해, 평상시에 퍼즐을 맞추면서 시간을 여유롭게 가지려고 노력하고 있습니다. 4. ... 전자회로, 제어공학, 디지털 공학 등 자동화 시스템 분야에 대한 기본지식을 튼튼히 쌓았습니다. 그 결과 성적우수장학금도 받을 수 있었습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2020.12.31
  • 한글파일 (합격자소서)한국요꼬가와일렉트로닉스메뉴팩쳐링_제품설계
    대학 4학년 때, 전자공학실험 수업으로 FPGA기반 VHDL을 이용한 라인트레이서 프로젝트를 수행하였습니다. ... 이러한 점을 극복하기 위하여, 평상시에 퍼즐을 맞추면서 시간을 여유롭게 가지려고 노력하고 있습니다. 2. 내가 살아오면서 가장 힘들었을 때와 그때의 내 행동은? ... 회로이론, 전자회로, 제어공학 등 전자공학 관점에서 제어 분야에 대한 기본지식을 튼튼히 쌓았습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.12.31
  • 한글파일 (합격자소서)서울교통공사 전자
    대학 4학년 때, 전자공학실험 수업으로 FPGA기반 VHDL을 이용한 라인트레이서 프로젝트를 수행하였습니다. ... [유지보수 Special List] 3년간 생산기술 엔지니어로 경험을 쌓으면서 가장 어려웠던 것 중 한가지는 생산설비 트러블 발생시 원인을 찾아 해결하는 것이었습니다. ... 전자회로, 제어공학, 디지털 공학 등 자동화 시스템 분야에 대한 기본지식을 튼튼히 쌓았습니다. 그 결과 성적우수장학금도 받을 수 있었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.12.31
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    베릴로그나 VHDL, 배치와 배선(PAR)을 합성하고, 생성된 펌웨어 파일은 칩을 설정하는데 사용된다. ... LCD를 사용 2) 기본적인 디지털 시계 기능 □ 시/분/초를 표현. □ 버튼 스위치로 값을 변경. □ 시는 AM/PM 0 ~ 11 또는 0 ~ 23으로 표현. □ 시간의 RESET ... 수정진동자를 이용한 발진 회로를 통해 만들어진 매우 높은 주파수 (예시로 32.768㎑)의 전기신호를 낮은 주파수 (예시로 1㎐)의 신호로 변환하기 위해서도 사용된다.
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 워드파일 서강대학교 21년도 디지털회로설계 - 엘리베이터 설계 프로젝트 보고서(A+자료)
    이 부분은 priority encoder의 원리를 이용해서 구현했다. ... State Diagram을 통해 구상하고 VHDL로 구현한 후, Testbench를 통해 검증해본다. 2, 3. ... 2021-1학기 디지털 회로 설계 기말프로젝트 엘리베이터 설계 과목명 디지털회로설계 전공 전자공학 학번 이름 날짜 2021.06.22 (우선 input과 output을 좀 간단하게
    리포트 | 10페이지 | 2,000원 | 등록일 2021.06.30 | 수정일 2022.09.23
  • 한글파일 (합격자소서)한일시멘트-전기
    [다른 생각, 다른 결과] 대학 4학년 때, 전자공학실험 수업으로 FPGA기반 VHDL을 이용한 라인트레이서 프로젝트를 수행하였습니다. ... 국내 최고의 시멘트 생산 기업인 한일시멘트에서 기술-전기 분야의 전문가로써 일류를 넘어 스페셜리스트가 되는 것이 저의 목표입니다. ... 현재의 한일시멘트는 구성원 모두가 스스로 노력하여 쟁취하고 쌓아올린 것 이라고 생각합니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2020.12.31
  • 한글파일 기초전자회로실험 - Sequential logic design using Verilog(순서논리) 예비레포트
    현재는 회사 고유의 포맷을 이용하기보다는 VHDL과 Verilog로 대표되는 표준 HDL을 널리 사용되고 있다.[2] 2) verilig의 요소의미 모듈 : 보통의 프로그래밍 언어에서 ... 오류 발생시 수정이 가능하고 개발시간이 짧으며 초기 개발비용이 적게 든다. ... 관련 이론 : 1)Hardware Description Language(HDL): 하드웨어 기술 언어는 전자회로를 정밀하게 기술하는 데 사용하는 컴퓨터 언어이다.다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 한글파일 (합격자소서)애경산업 공무분야
    수업으로 FPGA기반 VHDL을 이용한 라인트레이서 주행 프로젝트를 수행하였습니다. ... 회로이론,전자회로,제어공학 등 전자공학 관점에서 제어 분야에 대한 기본지식을 튼튼히 쌓았습니다. ... 가장 기억에 남는 봉사활동은 성남시에 있는 소망재활원으로 봉사활동을 갔었던 때입니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.12.31
  • 한글파일 논리회로설계실험 - 디코더/엔코더 예비레포트
    만약 3비트로 표현할 수 없는 수를 테스트벤치에 입력하면 시뮬레이션시 오류가난다. Y A A A ... 실험 목표 디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션으로 코딩이 올바르게 되었는지 확인한다 ... 3은 A, B, C, D, G에, 알파벳 C는 A, D, E, F에 빛을 냄으로써 표현할 수 있다. 7 segment는 이와 비슷한 역할을 하는 점 행렬에 비해 단순하기 때문에 전자
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 한글파일 [기초전자회로실험1] "Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증 (NAND2, NOR2, XOR2)" 예비보고서
    1 Preliminary report Electronic Engineering 기초전자회로실험1 Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증 (NAND2, ... VHDL(VHSIC Hardware Description Language 와 Verilog 등이 있으며 VHDL은 1987년에, Verilog는 1995년에 각각 IEEE 표준이 되었다 ... 즉, 하드웨어를 묘사하기 위한 언어이며 하드웨어를 구성할시에 일일이 모든 gate를 그림으로 그리지 않고 언어로서 표현해 나타내는 언어이다.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.03.18 | 수정일 2019.03.29
  • 한글파일 VHDL에 의한 논리 시스템 해석 및 설계
    형식적으로 정확하게 되어 있고, 해석시 사람에 따라 다르게 해석하지 않을 것. 컴퓨터를 이용하여 읽을 수 있고 simulation하여 동작을 확인 할 수 있을 것. 다. ... Size : 10,000-100,000 gate급 1990년대 - EDA (Electronic Design Automation : 전자' then x ... Gate Level design : Schematic capture 와 Logic simulation을 이용.
    리포트 | 38페이지 | 5,000원 | 등록일 2017.12.30
  • 워드파일 서울시립대학교 전자전기컴퓨터설계실험2 제11주 Lab10 Post
    Reference Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... Simulation 결과를 확인하면, calib_hour_button이 1이 되면 시에 해당하는 clk_hour_s에 1이 더해지는 것을 확인할 수 있다. ... Post-lab Report 전자전기컴퓨터설계실험Ⅱ 11주차. Project, Digital Watch Counter.
    리포트 | 6페이지 | 1,500원 | 등록일 2017.09.04
  • 워드파일 서울시립대학교 전자전기컴퓨터설계실험2 제11주 Lab10 Pre
    Reference Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... Pre-lab Report 전자전기컴퓨터설계실험Ⅱ 11주차. Project, Digital Watch Counter. ... 실험 날짜 2016. 11.21 학번 이름 Professor 조교 Introduce Object Text LCD를 이용해 Digital Watch를 구현하고 Counter의 정상 작동을
    리포트 | 9페이지 | 1,500원 | 등록일 2017.09.04
  • 워드파일 LG전자 VC사업부 2017년 상반기 공채 연구개발 합격 자기소개서(자소서)
    그래서 현재 VHDL과 C언어 공부를 위하여, 디지털 시스템과 프로그래밍 실습 과목을 충실히 수행하고 있습니다. ... 끝없는 발전 네덜란드에서 아두이노를 이용한 교육을 많이 받으며, 효율적인 하드웨어 개발을 위해서는 하드웨어와 소프트웨어를 아우르는 지식의 중요성을 알게 되었습니다. ... 또한 교환학생 시절, 네덜란드의 실험을 중요시 여기는 학풍으로, amplifier, oscillator, RF remote controller 등의 회로를 직접 설계해보기도 하였습니다
    자기소개서 | 2페이지 | 3,000원 | 등록일 2017.06.09
  • 워드파일 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Post
    Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... Post-lab Report 전자전기컴퓨터설계실험Ⅱ 9주차. ... ‘파, ‘솔’, ‘라’, ‘시’, 높은 ‘도’에서 LED와 piezo buzzer 출력이 정상적으로 나오는 것을 확인하였다.
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 한글파일 10-논리회로설계실험-예비보고서
    VHDL을 이용한 디지털 시스템 설계』 CENGAGE, 2008, ‘VHDL 모듈’ - 12_순차회로+설계_+FSM PPT - http://satrol.tistory.com/13 ... 또한 이를 이용해 자판기 같은 회로의 여러 가지 알고리즘을 상태를 이용해 표현할 수 있게 됨을 알 수 있었고 이 또한 VHDL로 짤 수 있다는 생각을 할 수 있었다. ... 과 목 : 논리회로설계실험 과 제 명 : #10 순차회로 설계_FSM (예비) 담당교수 : 국태용 교수님 담당조교 : 김태경 이희준 조교님 학 과 : 전자전기공학과 학 년 : 3 반
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 한글파일 광주광역시도시공사 IT, 전산직 자기소개서, 자소서
    2018 상반기 광주광역시도시공사 필기-인적성-서류-면접 1. 본인에 대하여 자세히 소개해 주시기 바랍니다. ... 본인이 어떤 목표를 세우고 그 목표를 달성하기 위해 노력했던 사례와 그 결과에 대하여 기재하여 주시기 바랍니다.(500자) [끝까지 도전] 3학년 때, VHDL로 FPGA를 설계하는 ... 졸업 작품으로는 1년 동안 진행했던 “FPGA와 라즈베리파이를 이용한 지능형 자동차” 프로젝트는 한이음 ICT 공모전에서 상도 수상하였고, 논문도 발표해보고, 특허 출원도 해보는 값진
    자기소개서 | 2페이지 | 8,000원 | 등록일 2018.03.29
  • 한글파일 2017 하반기 예금보험공사 IT직 자기소개서
    처음 배우는 언어는 곧 잘 이해를 하고 재밌어 했지만, VHDL은 제가 생각한대로 되지 않았습니다. ... . - 경험, 경력활동이 있는 조직·단체·모임, 본인의 역할 - 주요 수행업무·활동, 목적의 성취를 위한 노력 - 성취로 인한 조직기여 내용·정도 - 입사지원 분야 수행시 기여할 수 ... 제가 담당한 부분은 라즈베리파이를 이용하여 Python으로 이미지 처리를 하여 신호등과 표지판을 인식하고, 강우센서를 이용한 모터제어(와이퍼), 소켓서버 구축, 어플리케이션까지 만들어
    자기소개서 | 4페이지 | 5,000원 | 등록일 2017.10.23
  • 워드파일 SK그룹 SK 하이닉스 설계직무 2017년 상반기 공채 최종합격 자소서(자기소개서)
    한국에 돌아온 지금도 전자전기프로그래밍 과목과 디지털 시스템 과목을 수강하면서 C와 VHDL의 심화 학습을 위해 노력 중입니다. Q4. ... 특히, VHDL을 이용하여 7 segment 동작을 위한 다양한 실습을 진행 했던 논리회로설계 과목의 프로젝트는 가장 흥미 있고 열정을 다 할 수 있는 프로젝트였습니다. ... 후보자와 모든 일정을 함께 소화해야 하는 수행비서 업무는 아침 6시부터 늦으면 새벽 1시까지 이어지는 강행군이었습니다.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2017.06.09
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업