• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(59)
  • 리포트(56)
  • 시험자료(2)
  • 자기소개서(1)

"반가산기소스" 검색결과 1-20 / 59건

  • 한글파일 VHDL 코딩 소스 가산기 + 반가산기 결과보고서 디지털시스템 설계
    활동내용 1) 소스코드 - 반가산기 Bit 파일 생성 - 전가산기 Bit 파일 생성 - 반가산기 Test Bench - 전가산기 Test Bench 2) 시뮬레이션 - 반가산기 - ... 1 0 0 1 1 1 1 0 반가산기의 진리표 반가산기의 회로도 Sum=a'b+ab Carrier=AB 반가산기 Sum, Carrier의 카노맵 3. ... 설명 1) 가산가산기에는 두 개의 이진수(BIT)를 더하는 조합회로를 반가산기라 부르며, 두 개의 이진수와 자리올림수(carrier)도 고려하여 더해주는 조합회로를 전가산기라 부른다
    리포트 | 6페이지 | 1,500원 | 등록일 2014.10.15
  • 한글파일 VHDL 코딩 소스가산기 예비보고서 디지털시스템 설계
    > 반가산기 Sum, Carrier의 카노맵 3. ... 설명 1) 가산가산기에는 두 개의 이진수(BIT)를 더하는 조합회로를 반가산기라 부르며 두 개의 이진수와 자리올림수(carrier)도 고려하여 더해주는 조합회로를 전가산기라 부른다 ... 1 0 0 1 1 1 1 0 반가산기의 진리표 반가산기의 회로도 0 1 0 0 0 1 0 1 0 1 0 0 0 1 0 1 Sum=a'b+ab' Carrier=AB
    리포트 | 3페이지 | 1,000원 | 등록일 2014.10.15
  • 워드파일 논리 회로 VHDL 프로젝트 (가산기, 반가산기, 전가산소스코드, 사진, 파형, 캡쳐 모두 게재)
    HA)라 한다. 2개의 반가산기를 사용하여 전가산기를 제작할 수 있다. ... -----------------반가산소스 코드----------------------- -- Designer : JB -- Date : 2007.12.01 library ieee ... 반가산기(Half adder) 반가산기는 2개의 2진 입력과 2개의 2진 출력으로 구성한다. 입력들은 피가수와 가수를 나타내며 출력들은 합과 캐리를 산출한다.
    리포트 | 9페이지 | 5,000원 | 등록일 2008.11.18
  • 한글파일 VHDL-1-가산기,감산기
    이용하기위해 포트맵으로 지정해준다. -- 반가산기와 마찬가지로 OR 게이트도 지정한다. -- X, Y의 입력으로 만들어지는 반가산기의 출력 sum을 temp1, carry를 temp2로 ... 이후 두 번째 반가산기에서 temp1과 Bin을 입력으로 사용한다. ... REPORT 실습제목: 반가산기 1. 주제 배경 이론 2진수의 덧셈을 구현하는 회로이다. 한 자리 수만 존재한다고 가정한다.
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • 한글파일 베릴로그 전가산기 설계
    가산기는 반가산기 두 개를 이용하여 구현 가능하다. a 와 b 를 첫 번째 반가산기의 입력으로 연결하고 그 반가산기의 출력값과 ci 를 두 번째 반가산기에 입력으로 연결하면 두 번째 ... 반가산기의 출력값은 s가 되고, 두 반가산기의 자리올림수 출력에 대한 OR 연산이 co 가 된다. a=1, b=0, ci=0 인 경우를 예를 들어 회로에서 검증해보자. xor게이트는 ... [회로 구조] [진리표] 전가산기는 이진수 덧셈을 수행할 때 두 개의 한 자릿수 이진수 입력과 함께 하위 자리올림수를 포함하는 방식이다.
    리포트 | 5페이지 | 2,500원 | 등록일 2021.06.08
  • 한글파일 논리회로실험 반가산기 전가산
    가산기 & 전가산기 1. ... 실험 목표 반가산기와 전가산기에 대해 알아보고 반가산기의 진리표와 논리식을 작성하고 그에 따른 논리회로를 그리고 자일링스 프로그램을 사용하여 VHDL언어로 동작적, 자료흐름, 구조적 ... 고찰 이번 예비실험에서는 반가산기와 전가산기에 대해 알아보게 되었다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 논리회로설계실험_반가산기/전가산기 결과레포트
    실험 목표 반가산기와 전가산기에 대해서 이해하고, 반가산기와 전가산기를 세 가지 모델링 방법으로 설계한다. ... 고찰 (1) 이번 실습에서는 반가산기, 전가산기, 8bit 가산기에 대해서 이해하고, 여러 가지 방법을 통해 설계해보았다. ... 반가산기 1) 진리표 반가산기는 한 자리 2진수 2개를 입력하여 합(Sum)과 자리올림(Carry)을 계산한 덧셈 회로이므로 다음의 식들이 성립한다. 0+0=00 _{(2)} phantom
    리포트 | 12페이지 | 2,500원 | 등록일 2021.10.09
  • 한글파일 논리회로설계 실험 디코더 인코더
    실험 목표 반가산기와 전가산기에 대해 알아보고 반가산기의 진리표와 논리식을 작성하고 그에 따른 논리회로를 그리고 자일링스 프로그램을 사용하여 VHDL언어로 동작적, 자료흐름, 구조적 ... 고찰 이번 예비실험에서는 반가산기와 전가산기에 대해 알아보게 되었다. ... 실험시간에는 이번에 작성하였던 반가산기를 이용해 전가산기를 작동하게 할 텐데 반가산기를 2개 작성하는 등 더욱더 복잡해지기 때문에 쉽지않은 실험이 될 것 같다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 워드파일 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    좌측의 그림은 반가산기와 반가산기의 진리표이다. 반 가산기는 한자리 수 이진수인 A, B를 더하는 역할을 한다. ... 디지털 논리회로의 응용 – 가산기/비교기/멀티플렉서/디멀티플렉서 실험 목표 반가산기와 전가산기의 원리를 이해한다. 비교기의 원리를 이해하고 이를 응용한 회로를 구성할 수 있다. ... 반 가산기는 2가지 출력, Sum(S)과 Carry(C)를 가지고 있다. Carry는 자리올림수를 출력한다. 이 회로의 최종값은 2C+S가 된다.
    리포트 | 10페이지 | 1,000원 | 등록일 2022.03.03
  • 한글파일 논리회로설계실험 BCD가산기 레포트
    Adder는 이미 한 번 실습을 통해 만들어본 경험이 있었지만, 그때는 반가산기와 OR Gate를 이용한 구조적 모델링과 스키메틱 사용을 위주로 실습하였기 때문에 간단하게 코드를 짜려는 ... 만든다. 2) 설계 목표 입력 받은 2개의 2자리 10진수를 BCD 가산기로 받아서 계산하고, 계산 결과를 3자리 10진수의 형태로 7 segment를 통해서 출력하는 BCD 가산기를 ... BCD to 7-segment를 불러온다. 5) Simulation을 이용해 BCD 가산기를 검증한다. 4.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 한글파일 2024지거국 전자공학과 편입 전공면접자료, 면접 후기 (전남대,인천대,충남대,충북대,전북대) 합격
    EX) 반가산기, 전가산기, 디코더, MUX, 인코더 등 순서논리회로는 현재 입력과 이전 입력에 따라 출력값이 결정된다. 조합논리회로에 f/f과 메모리 같은 기억장치가 추가된다. ... metal,oxide,silicon으로 이루어지고 게이트,소스,드레인 으로 구성된다. ... 단위면적당 A벡터의 최대순환을 의미 방향은 벡터장A가 만드는 면과 법선 방향. 17 그래디언트에 설명 스칼라량의 기울기로 크기는 최대변화율 이고 방향은 최대 변화율을 만드는 방향이다
    자기소개서 | 13페이지 | 4,000원 | 등록일 2024.02.24 | 수정일 2024.03.05
  • 한글파일 [전산직/계리직] 컴퓨터일반 요약 정리
    연산장치(ALU) → 산술/논리연산장치 - 명령어를 실행하여 데이터를 계산/처리한다. - 산술연산(사칙연산)과 논리연산(NOT, AND, OR, XOR)을 수행한다. - AC, 가산기 ... 오픈소스 소프트웨어(open source software) → 소스 공개 - 프로그램의 소스 코드가 공개되어 있고, 저작권자의 동의 없이 자유로운 수정 및 재배포가 허용된다. 3. ... 보조기억장치(secondary memory) → 하드디스크 - 데이터와 프로그램을 저장할 수 있는 비소멸성(반영구적) 기억장치이다. - CPU와 직접적으로 정보 교환할 수 없기 때문에
    시험자료 | 112페이지 | 5,000원 | 등록일 2021.08.26 | 수정일 2024.04.29
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (3) Logic Design using Verilog HDL
    Module(1) [사진 2] 베릴로그 HDL 모듈 [사진 3] 베릴로그 HDL 모델링의 예시 (게이트 프리미티브를 이용한 모델링, 반가산기 회로) [사진 4] 베릴로그 HDL 모델링의 ... 주석(comment)는 HDL 소스코드의 설명을 위해 사용되며 컴파일 과정에서 무시된다. ... 지정된 비트 크기보다 unsigned 수의 크기가 작은 경우에는 MSB 왼쪽에 0이 삽입되며 MSB가 x 또는 z이면, x 또는 z가 왼쪽에 삽입된다. 이 값에 물음표 ‘?’
    리포트 | 84페이지 | 2,000원 | 등록일 2019.10.11 | 수정일 2021.04.29
  • 한글파일 논리회로실험 마지막 프로젝트 라인트레이서.
    주파수가 입력 주파수의 반이 되는 것을 볼 수 있다. 3) 스테핑 모터 - 스테퍼 모터 혹은 스테핑 모터는 한 바퀴의 회전을 많은 수의 스텝들로 나눌 수 있는 브러쉬리스 직류 전기 ... 설계 내용과 방법 1) 소스코드 입력, 출력 및 변수 설정 & 클락 분주 - 라인트레이서에 사용되는 입력, 출력, 변수들을 설정해주었다. - 각 바퀴들과 적외선의 주파수를 정해주기 ... 커브 이동시 흔들리고 속도가 빠르지 않는 등 문제가 남아있부분에서 모든 led가 작동하여 전원이 꺼지는 현상이 발생하는 문제가 있었지만 stop코드의 가산을 없애주어 멈추지 않게 함으로써
    리포트 | 8페이지 | 2,500원 | 등록일 2021.10.01
  • 한글파일 컴활 2급 1과목 필기 요약본 입니다.
    가산기-덧셈 보수기 ? 보수하여 뺄셈 누산기 ? 연산 결과 일시 기억 상태 레지스터 ? ... 대가없이 무료 오픈소스 ? 소스코드까지 무료 셰어웨어 ? 일정기간동안 무료 베타버젼 ?일반인 테스트 상용 ? 돈받고 판매 유틸리티 ? 컴퓨터 동작에 필수 아님 !!! ... 방송 LBS- 위치기반서비스 VCS-화상회의 키오스크-무인안내시스템 텔레매틱스-차량 정보통신 !!멀티미디어 데이터 이미지 데이터 비트맵 ?
    시험자료 | 8페이지 | 1,500원 | 등록일 2021.07.11 | 수정일 2022.06.20
  • 한글파일 가산기 & 전가산기 예비보고서
    가산기 & 전가산기 1. ... 전가산기를 반가산기 두 개를 이용하여 구현하는 방법은 다음과 같다. A와 B를 첫 번째 반가산기에 연결하고 그 출력값을 두 번째 반가산기의 입력에 연결한다. ... 그 후 두 번째 반가산기의 다른 입력에 Cin을 연결하여 두 번째 반가산기의 출력값이 S값이 되고, 자리올림수 출력인 Cout은 두 반가산기의 자리올림수 출력의 OR 연산이 된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.07.25
  • 한글파일 가산기 & 전가산기 결과보고서
    가산기 & 전가산기 1. 실험 목표 간단한 1비트 2진수 합이 가능한 반가산기와 2비트 이상의 2진수 합이 가능한 전가산기의 동작 특성을 이해하고, 이를 설계한다. ... 반가산기 (1) 동작적 모델링 / 자료흐름적 모델링 1) 소스 코드 동작적 모델링 자료 흐름 모델링 2) 테스트 벤치 코드 3) Wave Form (2) Schematic Design ... 전가산기 (1) 동작적 모델링 / 자료흐름적 모델링 1) 소스 코드 동작적 모델링 자료 흐름 모델링 2) 테스트 벤치 코드 3) Wave Form (2) 구조적 모델링 1) 파일 생성
    리포트 | 9페이지 | 1,000원 | 등록일 2014.07.25
  • 워드파일 베릴로그 Fulladder
    소스코드 설명 : 반가산기가 2개로 연결되어 있기 때문에 한 번에 처리할 수 없음. ... A와 B를 첫 번째 반가산기에 연결하고 그 출력값을 두 번째 반가산기의 입력에 연결. ... 필요함.설계 대상 전체 회로구조 :기능설명 : 첫 번째 반가산기에서 두 입력 A, B를 더하고, 두 번째 반 가신기에서 첫 번째 반가산기의 덧셈 결과와 또 다른 입력인 캐리
    리포트 | 13페이지 | 1,000원 | 등록일 2018.09.09 | 수정일 2018.09.12
  • 워드파일 논리회로설계실험 반가산기전가산기설계 결과보고서
    그 후 t_s 신호를 또다시 두번째 반가산기의 입력으로 연결 시켜 주었으며, 이 신호는 Input C_in의 입력과 함께 두번째 반가산기를 통과 한다. ... 즉, signal t_s, t_c1, t_c2 를 정의 한 후 t_s을 첫번째 반가산기를 통과 하여 나온 Sum의 신호로 지정하고 t_c1을 첫번째 반가산기를 통해 나온 Carry ... 여기서 위에서 나타난 논리식(전가산기)은 반가산기 2개와 OR게이트 하나로 이루어지는데 이를 사용하는 것이 편리하다.
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 워드파일 논리회로설계실험 반가산기 전가산기설계 예비보고서
    가산기 진리표 논리식과 논리회로 논리식 논리회로 소스코드 동작적 모델링(Behavioral modeling) 자료 흐름 모델링(Dataflow modeling) 구조적 모델링(Structural ... 조합 회로 설계-반가산기 실험 목표 반가산기의 작동을 이해하고 진리표를 작성하여 논리식을 구하여 본다. ... 이러한 반가산기의 한계는 이전 단계에서의 자리 올림 수를 받아들이지 못하기 때문에 여러 비트의 이진수 덧셈을 위해 반가산기를 단순히 연결하여 사용할 수 없다는 것이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2018.01.10
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업