논리회로설계 프로젝트 디지털 시계2 (7-segment)
- 최초 등록일
- 2013.12.08
- 최종 저작일
- 2009.11
- 14페이지/ 한컴오피스
- 가격 2,000원
소개글
디지털 시계를 출력하는 머신을 작성하여 8개의 7-segment에 출력할 수 있는 프로그램.
목차
1. 목표
2. 설계
3. 시뮬레이션
4. 정리
본문내용
이번 설계과제는 ‘2차 과제에서 7-segment에 출력하기 전단계인 32bit의 출력에 ‘초,분,시,일’을 8bit씩 차지하여 총 32bit로 출력한 데이터’를 실제 7-segment에 출력을 하는것이다.
<중 략>
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
entity segment is
port (data_in : in std_logic_vector ( 3 downto 0 );
segment7 : out std_logic_vector(6 downto 0));
end segment;
architecture structure of segment is
begin
process(data_in)
begin
if data_in = 0 then segment7 <= "1111110";
elsif data_in = 1 then segment7 <= "0110000";
elsif data_in = 2 then segment7 <= "1101101";
elsif data_in = 3 then segment7 <= "1111001";
elsif data_in = 4 then segment7 <= "0110011";
elsif data_in = 5 then segment7 <= "1011011";
elsif data_in = 6 then segment7 <= "0011111";
elsif data_in = 7 then segment7 <= "1110000";
elsif data_in = 8 then segment7 <= "1111111";
elsif data_in = 9 then segment7 <= "1110011";
참고 자료
없음