• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL을 이용한 신호등 설계 프로젝트

*주*
개인인증판매자스토어
최초 등록일
2010.12.16
최종 저작일
2010.12
16페이지/한글파일 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

논리회로 실험 최종 프로젝트
VHDL을 이용하여, HBE-COMBO II 보드에 장착되어 있는 Traffic Light Module을 제어하여, 신호등 컨트롤러를 구현한다.
① 교차로에서 차량신호등과, 보행자 신호등에 동시신호가 발생하며, 동, 서, 남, 북 네 방향을 주기적으로 전환하며 신호가 발생한다.
② 기본신호등에 차량의 누적된 차량의 수에 따라 신호의 길이가 조절 가능하게 한다.

이론, 설계 과정, 소스코드, 분석, 설명 그림, 차트, 고찰 포함

목차

1. 실험의 목표
2. 실험에 사용된 장비
3. 시스템 전체 구성도
4. 소프트웨어 전체 구성도
5. 데이터 Flow chart
6. Quartus에서 표현한 회로 구성도
7. VHDL을 이용한 코딩 & 분석
8. 고찰

본문내용

8. 고찰
이번 프로젝트는 기본 신호등 제작에 더해서 차량누적에 따라 신호시간이 지연되고, 그에따라 누적된 차량이 감소됨을 표현하는 것이 최종목표였다. 이 목표에 달성하기 위하여 1단계로 VHDL과 HBE-COMBO의 조작방법과 작동원리에 대해 익혔다. 그리고2단계로 기본적인 신호등 제작을 위해서 조원들이 모여서 VHDL언어를 심도있게 학습하였고, 그리고 3단계로 추가사항인 차량누적에 따른 신호지연기를 제작하기 위해서 수많은 수행착오를 겪어가면서 최종 목표에 도달할 수 있었다.
신호등의 전체적인 흐름을 살펴보면, 신호등을 움직이는 (개별적인 신호와 신호등방향 등 다양한 변화들) 메인clock 에 의해서 작동할 수 있었다. 메인 CLock을 이용하여 활성화 될 신호등의 방향을 결정할 수 있고, 또한 활성화 된 신호등에서의 각각의 상태를 표현 할 수 있었다. 실험을 할때는 메인Clock 1kHz를 기준삼아 설계를 하였고, 그로인하여 500번의 메인clock을 1번의 Pulse로 표현하였다.(Clock divider) 그리고 그것을 기본 펄스로 하여, 보행자 신호등의 녹색깜빡임을 표현하였고, 또한 5번의 Pulse가 입력될때마다 각각의 신호등의 상태가 바뀌게 설정을 하였다. 여기서 신호등의 상태라 하면, 활성화된신호등(빨간불이 아닌 상태의 한방향)은 직진,좌회전 ->보행자깜빡임 -> 주의 의 총 세단계로 구성되어있었고, 이는 5번의 pulse 즉 0.001X500X5=2.5초 마다 상태가 변화하게 하였다. 그리고 모든 세단계의 상태가 끝나면 다음방향이 활성화 될 수 있도록 방향을 전환하였다. 이는 각각의 Process를 기반으로 Top_traffic이라는 메인 코드에서 각각의 입출력을 설정해주고, state에 따른 결과를 표현함으로써, Finite state machine으로 VHDL을 코딩한 결과이다. 즉, 각각의 state와 state가 순환하면서 순차적으로 state가 변화하면서 그로인하여 원하는 결과를 얻을 수 있었다. 또한 차량누적지연기는 입력을 HBE-COMBO의 버튼을 사용하여 입력하였고, 입력된 값을 하나씩 더해가면서 입력된값을 인지하고, 그 인지된 값을 7segment에 각각 방향에 맞게 출력될 수 있게 하였다

참고 자료

없음

자료후기(3)

*주*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 디지털시스템설계실습 논리게이트 결과보고서 6페이지
    OR, NOT, NOR, NAND 등으로 구성된 함수을 설계하고 시뮬레이션을 ... 이때 다음과 같이 Verilog 또는 VHDL 템플릿에 따라 설계한다. 2 ... 입력신호가 바뀌면 출력신호가 바로 바뀌지 못하고 지연되는 데 이는 회로 내
  • 워드파일 디지털 시계 실습 vhdl 레포트 (12,60진 카운터) 19페이지
    Vhdl이용한 코드 출력 결과 디지털 시계 이론적 배경 Vhdl이용해 ... Vhdl이용한 코드 . ... HDL및 실습 -component 문을 이용한 시계 설계- 목차——————
  • 한글파일 논리회로설계실험 라인트레이서 레포트 15페이지
    논리회로설계 실험 설계프로젝트 보고서 주제 : 라인트레이서 설계 1. ... 설계 배경 및 목표 1) 설계 배경 지금까지 여러 VHDL표현 방식에 대해서 ... 등이 linetracer를 설계하는데 많이 사용될 수 있었다.
  • 한글파일 디지털시스템실험 2주차 예비보고서 4페이지
    Add Wave 항목을 선택하여 Wave 창에 TestBench 입, 출력 신호를 ... Verilog의 연산자는 산술 연산자, 관계 연산자, 논리 연산자, 시프트 연산자 등이 ... ModelSim 소프트웨어를 이용한 시뮬레이션 1.
  • 한글파일 기초전자회로실험 - Sequential logic design using Verilog(순서논리) 예비레포트 7페이지
    현재는 회사 고유의 포맷을 이용하기보다는 VHDL과 Verilog로 대표되는 ... FPGA 등의 기계가 없이 테스트를 할 수 있으므로 회로 테스트에 용이하다 ... 컴퓨터에 설치된 Vivado design Suite 프로그램을 연다. 2) 프로젝트
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL을 이용한 신호등 설계 프로젝트 무료자료보기
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업