• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,440)
  • 리포트(3,856)
  • 자기소개서(399)
  • 시험자료(99)
  • 방송통신대(67)
  • 논문(13)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"논리회로 설계" 검색결과 101-120 / 4,440건

  • 한글파일 [논리회로설계실험]Decoder와 Encoder설계
    실험 내용 1) 3x8 Decoder - Data Flow Modeling 아래 진리표와 같은 값을 갖도록 Decoder를 설계하라. ... 1 1 1 1 1 0 0 0 0 0 0 0 2) 3x8 Decoder - Behavioral Modeling(case문 사용) 동일한 진리표를 사용하여 설계하라 ... STD_LOGIC_VECTOR (7 downto 0)); end component; begin key2 : decoder_data_flow port map(x1,EN1,D1); -- 지정한 회로
    리포트 | 7페이지 | 1,500원 | 등록일 2015.07.07
  • 워드파일 논리회로설계실험 스톱워치 설계과제2 결과보고서
    논리회로설계 실험 설계과제 보고서 #2 Stopwatch 실험 배경 및 목표 VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 ... FSM을 디지털 하드웨어로 설계하는 경우에는 state 변수를 기억하는 레지스터 블록과 state 변수의 천이를 표현하는 함수와 출력 값을 결정하는 함수를 위한 조합 논리 회로의 영역으로 ... 순차회로에서 수행한 내용들이 stopwatch를 구현하는데 많이 사용되었으며 카운터 설계, finite state machine 설계 등이 있었다.
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • 한글파일 논리회로 설계프로젝트 (A+받은 레포트)
    설계프로젝트 목적 - 논리회로에서 사용되는 소자들의 동작원리를 파악하고, 세부 기능 블록을 설계 및 구현함으로써 실무 지식을 배양함 - 논리회로 설계 소프트웨어를 사용하여 논리회로를 ... 상세 회로 설계도 7. 기능 블록 별 동작 및 성능 분석 1. 프로젝트명 - 동기 논리회로 설계 2. ... 논리회로 설계 프로젝트 ■ 목차 ■ 1. 프로젝트명 2. 설계프로젝트 목적 3. 설계의 구성요소 기술 4. 설계의 구성요소 목표 및 분석 5. 설계의 현실적 제한조건 6.
    리포트 | 7페이지 | 1,500원 | 등록일 2017.07.23
  • 파일확장자 정수형 가감승제 논리 회로 설계
    리포트 | 5,000원 | 등록일 2014.04.14
  • 워드파일 논리회로설계실험 FlipFlop Register 예비보고서
    논리회로설계 실험 예비보고서 #7 실험 6. 조합 회로 설계- Flip-flop, Register 실험 목표 Latch와 Flip-flop 그리고 레지스터에 대하여 알아 본다. ... 진리표와 특성표 상태도와 논리기호 회로도 VHDL 코드 소스코드 테스트 벤치 코드 Waveform 실험 2. ... 그 후 Flip-flop의 종류 중 하나인 JK Flip-flop의 진리표, 특성표, 상태도, 논리 기호, Nor 게이트를 이용한 회로도를 바탕으로 비동기 입력 신호를 제외하여 VHDL
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 워드파일 논리회로설계실험 FlipFlop Register 결과보고서
    논리회로설계 실험 결과보고서 #7 실험 7. ... 세번째 실험으로 단일 상태일 때 Active, 즉 스위치를 올렸을 때 Active가 되도록 설계하여야 함을 주의하며 4개의 dip_sw에 이진수()을 대응 시킨 후 또 이에 대응하는
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 파워포인트파일 순서 논리회로 설계
    설계 목표 관련 기술 및 이론 설계 내용 및 방법 회로 설계 결과 토의 우리 주변에서 순서 논리 회로를 이용하여 설명되거나, 설명할 수 있는 제품이나 놀이를 생각해 보고 설계해 본다 ... 순서 논리 회로 순서 논리 회로의 다음 출력은 현재 공급된 입력의 조합과 현재의 출력 상태에 의해 결정되는 회로이다. ... 주제를 정하는 부분부터 많이 어려웠었고, 주제를 상태도와 상태표로 옮긴 이후에도 플립플롭으로 설계를 하는 과정에서도 2학년 때 배웠던 논리회로책을 들춰가며 했다.
    리포트 | 23페이지 | 2,000원 | 등록일 2012.10.17
  • 한글파일 논리회로, 디지털공학, 회로 설계
    특히 실제로 사용하기 전에 회로를 시뮬레이션 해 볼 수 있어 유용하다. ⑵ 설계 ①:기본 논리게이트 설계 및 구현 ① NOT 게이트 회로① NOT 게이트 결과 ① NOT 게이트 진리표A ... 2010년 논리회로 설계보고서 제 출 자 이 름 제 출 자 학 번 제 출 자 분 반 담 당 교 수 마 감 일 시 제 출 일 시 이 메 일 주 소 점 수    /    (점수/만점) ... 목   차 ⑴ 시뮬레이터 요약 ⑵ 설계 ①:기본 논리게이트 설계 및 구현 ⑶ 설계 ②:불 대수와 드로르간의 정리 설계 및 구현 ⑷ 설계 ③:Exclusive-OR 게이트 설계
    리포트 | 61페이지 | 2,500원 | 등록일 2013.10.16
  • 한글파일 [논리회로설계실험]커피자판기설계
    회로를 묶어 하나의 process로 동작하며 나머지 조합논리에 대하여 1-1표로 설계되었다. with state select state_out ... process로 동작하도록 만든 회로이다. process(1): 리셋신호가 1이고 상승클럭 엣지인 경우에 매개 상태가 현재 상태에 저장되도록 해주는 조합논리회로이다. process ... 커피자판기(FSM 설계) 1. 실험 내용 1) 다음 상태도와 동작에 따라 커피자판기를 설계하시오. ① 상태도 ② 동작 설명 ?
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • 한글파일 아날로그 및 디지털 회로 설계 실습 8. 논리함수와 게이트
    (Exclusive NOR)의 진리표를 사용하여 AND, OR, NOT 게이트로 XNOR의 회로도를 설계하라. ... 설계실습 계획서 8-3-1 XNOR 게이트 설계 및 특성 분석 (A) AND, OR, NOT 게이트를 사용하여 NAND, NOR, XOR 게이트의 기능을 갖는 회로도를 그리고 XNOR ... )에서 0V(논리값 0)로 단계적으로 변화시켜서 NAND 게이트가 동작하는 최소 정격 전압을 구하는 설계 방법을 생각하고, 그 단계적 방법을 구체적으로 서술하라.
    리포트 | 4페이지 | 1,000원 | 등록일 2018.03.21
  • 워드파일 논리회로설계실습-FSM-결과보고서
    논리회로설계 실험 결과보고서 #9 실험 9. ... 실험 결과 스텝 클록(펄스) 발생 회로를 이용한 링 카운터를 설계해 본다. ... 이를 사용하여 스텝 클록 펄스 발생회로를 이용한 링 카운터를 설계해 본다. 작성된 코드를 사용하여 RoV-Lab3000과 연결하여 하드웨어 작동을 해 본다.
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 한글파일 논리회로설계실험_라인트레이서_프로젝트_결과보고서
    논리회로설계 실험 설계프로젝트 라인트레이서 1. ... 순차회로에서 수행한 내용들이 linetracer를 구현하는데 많이 사용되었으며 분주기 설정, finite state machine 설계 등이 있었다. ... 방향성을 완벽히 설계하였다.
    리포트 | 9페이지 | 6,000원 | 등록일 2018.01.10
  • 파일확장자 논리회로설계 - 전자자물쇠 구현
    Chattering 현상전자 회로 내의 스위치에 접점이 붙거나 떨어질 때 기계적인 진동에 의해 실제로는 매우 짧은 시간 안에 접점이 붙었다가 떨어지는 것을 반복하는 현상.
    리포트 | 14페이지 | 3,000원 | 등록일 2016.06.25 | 수정일 2016.06.27
  • 워드파일 논리회로설계실습-FSM-예비보고서
    논리회로설계 실험 예비보고서 #9 실험 9. 순차회로 설계 - FSM 실험 목표 FSM의 두 종류인 밀리 머신과 무어 머신에 대하여 이해하고 VHDL에서의 사용법을 이해한다. ... FSM을 디지털 하드웨어로 설계하는 경우에는 state 변수를 기억하는 레지스터 블록과 state 변수의 천이를 표현하는 함수와 출력 값을 결정하는 함수를 위한 조합 논리 회로의 영역으로 ... Glitch Glitch는 시스템에서의 일시적 오류를 일컫는 말이며 논리 회로가 타이밍에서 벗어나는 것 등으로 본래 필요 없는 부분에 발생하는 펄스로서 컴퓨터의 오동작의 원인이 된다
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 한글파일 논리회로설계실험 프로젝트 라인트레이서
    논리회로설계 프로젝트 설계 보고서 1. ... 설계 배경 및 목표 논리회로설계 수업을 진행하며 학습한 내용을 활용하여 목표에 따른 논리회로설계한다. line tracer 가 적외선 센서를 이용해 흰 줄을 따라 이동하도록 하는 ... 동작시간이 대폭 단축된다. (6) 클락 분주기 입력 클럭을 특정 주파수로 분주하는 회로. 낮은 주파수의 클럭이 생성 되며 클럭의 주기는 길어진다. 3.
    리포트 | 13페이지 | 2,000원 | 등록일 2015.04.17
  • 워드파일 논리회로설계실험 반가산기전가산기설계 결과보고서
    논리회로설계 실험 결과보고서 #2 실험 2. 조합 회로 설계-전가산기 실험목표 전가산기의 동작을 이해하고 진리표를 작성해 본다. ... 또한 Schematic design을 이용하여 전가산기의 논리회로를 구성해 본다. ... A6_최현석 전가산기 회로에 대하여 동작적 모델링, 자료 흐름 모델링 그리고 구조적 모델링 방식을 사용하여 코드를 작성하기 위해 전가산기 회로의 진리표를 작성해 보았다.
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 워드파일 논리회로설계실험 반가산기 전가산기설계 예비보고서
    논리회로설계 실험 예비보고서 #2 실험 2. 조합 회로 설계-반가산기 실험 목표 반가산기의 작동을 이해하고 진리표를 작성하여 논리식을 구하여 본다. ... 반가산기 진리표 논리식과 논리회로 논리논리회로 소스코드 동작적 모델링(Behavioral modeling) 자료 흐름 모델링(Dataflow modeling) 구조적 모델링(Structural ... _method=view&MAS_IDX=15*************> “02_조합회로+설계+-+반가산기+_+전가산기”, PDF, Retrieved march 19, 2017, from
    리포트 | 7페이지 | 1,000원 | 등록일 2018.01.10
  • 한글파일 vhdl 기본적인 논리회로 설계
    ·VHDL 설계 실습 결과보고서 VHDL Lab_01 일시 2013-9-24 전공 실습시간 학번 이름 제목 기본적인 디지털 논리회로설계 실습 목적 디지털 논리회로는 schematic과 ... 본 실습에서는 기본 논리 게이트로 구성된 회로를 schematic과 VHDL로 각각 설계하여 시뮬레이션하고 DigComV32에 다운로드하는 과정을 실습함으로써 논리회로 설계 과정과 ... 같이 그래픽으로 설계하거나 VHDL과 같이 택스트로 프로그래밍하여 설계할 수이 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.10.30
  • 한글파일 논리회로실험 설계 보고서
    1 논리회로실험설계과제· REPORT 전자공학도의 윤리 강령 (IEEE Code of Ethics) `(출처: http://www.ieee.org) 나는 전자공학도로서, 전자공학이 ... 그러나 처음에 설계한 code를 최대한 수정한 결과 18.764ns라는 전달지연을 얻을 수 있었다. ... 설정을 완료한 후에 Start를 누르면 FPGA에 설계한 Multiplier가 입력되어 FPGA board는 이제 Multiplier의 기능을 하는 board로 바뀌는 것이다.
    리포트 | 24페이지 | 4,000원 | 등록일 2013.11.25 | 수정일 2013.11.28
  • 한글파일 논리회로 자판기설계
    회로도 3.설계결과 4. ... 설계목표 - IC소자를 이용한 자판기 시스템을 설계한다. - 설계 작품은 다음과 같은 기능을 갖는다. ... TROUBLE SHOOTING -시뮬레이션 결과와 실제로 구성한 회로의 결과 값 상이 그래서 꾸준한 회로 결선으로 결과값 나오게 함 -채터링 현상으로 버튼을 한번만 눌러도 두 번 세
    리포트 | 5페이지 | 1,500원 | 등록일 2012.08.29
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업