• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,440)
  • 리포트(3,856)
  • 자기소개서(399)
  • 시험자료(99)
  • 방송통신대(67)
  • 논문(13)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"논리회로 설계" 검색결과 121-140 / 4,440건

  • 워드파일 논리회로설계실험 ALUkit (결과보고서)
    Conclusion 이번 실험은 이전에 설계하였던 ALU회로를 사용하여 키트에 직접 적용시키는 회로였다. ... 이것은 산술연산과 논리연산을 하는 유닛이다. ... [네이버 지식백과] ALU [Arithmetic and Logic Unit] (용어해설) 위의 ALU를 통하여 의 연산을 수행하는 회로설계한다.
    리포트 | 20페이지 | 1,000원 | 등록일 2015.08.25
  • 워드파일 디지털 논리 TFF 회로 설계
    소개글 스위치 레벨로 구현된 비동기 제어 입력 신호 t을 갖는 tff 회로 설계 및 검증논리회로 아래와 같은 진리표를 갖는 tff T clk Q 1 rising 토글(Toggle,현재상태를
    리포트 | 5페이지 | 5,000원 | 등록일 2012.08.11
  • 파워포인트파일 조합논리회로설계
    디지털 논리 회로 2학년 1학기 4. 조합 논리 회로 1. 조합 논리 회로설계 ( / ) 시스템을 분석하고 진리표를 작성할 수 있다. ... 등 조합 논리 회로설계는 진리표를 가지고 디지털 회로를 구성하는 것이다. 1 1 1 1 0 1 1 1 0 0 0 0 B A 출력 입력 진리표 디지털 회로 조합 논리 회로설계 ... 조합 논리 회로설계 순서 시스템의 분석과 변수 정의 조합 논리 회로설계하기 위하여 입력 변수의 수와 출력 변수의 수를 정하고 각각에 적당한 변수를 할당하는 것 (a)기본 회로
    리포트 | 20페이지 | 1,500원 | 등록일 2010.11.20
  • 한글파일 06 논리회로설계실험 결과보고서(순차회로)
    논리회로설계 실험 결과보고서 #6 실험 6. 순차회로 설계 1. 실험 목표 JK 플립플롭을 VHDL을 이용해 설계해본다. ... 우리가 설계한 JK 플립플롭, 병렬 레지스터, 시프트 레지스터 모두 순차회로를 이용한 회로이다. JK플립플롭은 if,elsif문을 사용하여 진리표에 따라 동작하도록 설계하였다. ... 고찰 이 전까지 설계했던 조합회로가 아닌, 순차회로설계하는 시간을 가졌다. 순차회로는 조합회로와 달리 클락을 갖게되며, 클락에 동기되어 출력값을 갖게 된다.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 한글파일 06 논리회로설계실험 예비보고서(순차회로)
    논리회로설계 실험 예비보고서 #6 실험 6. 순차회로 설계 1. 실험 목표 래치와 플립플롭에 대해 이해한다. 각 각 어떤 종류의 래치와 플립플롭이 있는지 알아본다. ... 기억 및 귀환 요소가 있어 플립플롭과 유사하지만 clock 입력이 없어 비동기식 순서논리회로이다. ... JK 플립플롭을 VHDL을 이용해 설계해본다. 레지스터에 대해 이해하고 VHDL을 이용해 시프트 레지스터를 설계해본다. 2.
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 한글파일 아주대학교 논리회로실험 설계 에비보고서
    Part별 설계 회로 분석 [Switch Part] : Start/Stop 버튼 오른쪽의 회로에서 각각의 두 버튼에 JK플립플롭을 사용하였다. ... 하지만 설계시 제한점으로, 오직 7개의 line밖에 사용할 수 없음을 유념해야 한다. 여기서는 74151 MUX를 이용해 보기에 간단한 회로를 구성하기로 하였다. ... [설계 Project. Up/Down Counter 설계] ? 실험 목적 - FPGA를 이용하여 Up ? Down Counter를 설계한다. ? 작동 원리 설명 1.
    리포트 | 6페이지 | 1,500원 | 등록일 2016.06.16
  • 한글파일 아주대학교 논리회로 실험 설계 예비보고서
    논리회로 실험설계 설계주제:스톱워치 목차 1. 설계 목표 2. 동작 조건 3. ... 위치시켰다. (6) 총 설계 회로 최종적으로 구성할 회로는 다음의 회로와 같다. 5. ... 4. 1차 설계 및 분석의 과정에서 설계의 동작 조건을 고려하여 회로를 구성해 보았고, 옳게 구성이 되었다면, start/stop의 key0(switch0)과 rap/reset의
    리포트 | 10페이지 | 2,000원 | 등록일 2016.06.14
  • 한글파일 아주대 논리회로실험 설계 프로젝트 결과보고서
    Part별 설계 회로 분석 [Switch Part] : Start/Stop 버튼 오른쪽의 회로에서 각각의 두 버튼에 JK플립플롭을 사용하였다. ... 이론적으로 설계를 한 이 회로에 문제가 있는지는 컴파일을 통해서 확인을 할 수 있었다. ... [설계 Project. Up/Down Counter 설계] ? 실험 목적 - FPGA를 이용하여 Up ? Down Counter를 설계한다. ? 작동 원리 설명 1.
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • 한글파일 05-논리회로설계실험-예비보고서
    과 목 : 논리회로설계실험 과 제 명 : #5 조합회로 설계 (예비) 담당교수 : 국태용 교수님 담당조교 : 김태경 이희준 조교님 학 과 : 전자전기공학과 학 년 : 3 반 & 조 ... : A반 4 조 학 번 : 2011311307 이 름 : 김영관 제 출 일 : 2015. 4. 8 논리회로설계 실험 예비보고서 #5 실험 1. ... . - 하나의 입력을 받아 여러 개의 출력포트 중 하나를 선택하여 출력해주는 회로이다. - (4) ALU - 산술논리연산장치(ALU : Arithmetic Logic Unit)의 핵심요소로서
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 한글파일 04-논리회로설계실험-예비보고서
    과 목 : 논리회로설계실험 과 제 명 : #4 디코더 엔코더 설계 (예비) 담당교수 : 국태용 교수님 담당조교 : 김태경 이희준 조교님 학 과 : 전자전기공학과 학 년 : 3 반 & ... 조 : A반 4 조 학 번 : 2011311307 이 름 : 김영관 제 출 일 : 2015. 4. 1 논리회로설계 실험 예비보고서 #4 실험 1. ... 따라서 각 분할 영역(a~g)은 입력 4개에 의해 출력을 결정 지어져야 한다. - 따라서 카노맵을 이용하여 논리회로설계해보면 다음과 같다. 3.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 한글파일 10-논리회로설계실험-예비보고서
    과 목 : 논리회로설계실험 과 제 명 : #10 순차회로 설계_FSM (예비) 담당교수 : 국태용 교수님 담당조교 : 김태경 이희준 조교님 학 과 : 전자전기공학과 학 년 : 3 반 ... & 조 : A반 4 조 학 번 : 2011311307 이 름 : 김영관 제 출 일 : 2015. 5. 6 논리회로설계 실험 예비보고서 #10 실험 10. ... 『VHDL을 이용한 디지털 시스템 설계』 CENGAGE, 2008, ‘VHDL 모듈’ - 12_순차회로+설계_+FSM PPT - http://satrol.tistory.com/13
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 한글파일 01-논리회로설계실험-예비보고서
    과 목 : 논리회로설계실험 과 제 명 : #1 기본게이트 설계 (예비) 담당교수 : 국태용 교수님 담당조교 : 김태경 이희준 조교님 학 과 : 전자전기공학과 학 년 : 3 반 & 조 ... : A반 4 조 학 번 : 2011311307 이 름 : 김영관 제 출 일 : 2015. 3. 11 논리회로설계 실험 예비보고서 #1 실험 1. ... 따라서 동작적 모델링으로만 회로설계하면 회로의 효율성이 떨어지는 일이 발생할 수 있을 것이다. - 자료 흐름 모델링 : 상위 추상레벨을 갖는 동작적 모델링과 하위 추상레벨을 갖는
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 파일확장자 [VHDL][논리회로] 시계설계(서브모듈이용)
    [VHDL][논리회로] 시계설계(서브모듈이용) A+받은 설계 입니다 플래그도 이용
    리포트 | 1,000원 | 등록일 2014.11.15 | 수정일 2021.06.09
  • 한글파일 09-논리회로설계실험-예비보고서
    과 목 : 논리회로설계실험 과 제 명 : #9 순차회로 설계_카운터 (예비) 담당교수 : 국태용 교수님 담당조교 : 김태경 이희준 조교님 학 과 : 전자전기공학과 학 년 : 3 반 ... & 조 : A반 4 조 학 번 : 2011311307 이 름 : 김영관 제 출 일 : 2015. 4. 29 논리회로설계 실험 예비보고서 #9 실험 9. ... 『VHDL을 이용한 디지털 시스템 설계』 CENGAGE, 2008, ‘VHDL 모듈’ - 11_순차회로+설계_+카운터 PPT - http://www.allaboutcircuits.com
    리포트 | 8페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 한글파일 02 논리회로설계실험 예비보고서
    논리회로설계 실험 예비보고서 #2 실험 2. 반가산기와 전가산기 설계 1. 실험 목표 VHDL을 이용하여 반가산기와 전가산기를 동작적 모델링과 자료 흐름 모델링으로 설계한다. ... 그리고, 각 가산기의 논리회로를 그려본다. 2. ... )를 구해주는 덧셈 회로로서, 컴퓨터 내부에서 가장 기본적인 계산을 수행하는 회로이다. 1bit의 2진수 2개를 연산할 때, 입력 변수의 내용은 1과 0만 존재 할 수 있으므로, 2변수에서
    리포트 | 6페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 한글파일 03 논리회로설계실험 예비보고서
    논리회로설계 실험 예비보고서 #3 실험 3. 병렬가산기 설계 1. ... VHDL을 이용하여 병렬가산기를 설계해본다. 2.
    리포트 | 5페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 파워포인트파일 디지털회로설계이론 산술논리연산
    따라서 실제 회로에서는 주로 감산기를 별도로 설계하지 않고 가산기를 감산기로 사용한다. 4bit 병렬 2진 가산기 블록도 4진 병렬 가산기 및 가감산기 10진수 5에서 7을 감산할 ... 산술논리연산 가산기 반가산기 : 2진수 덧셈에서 두 개의 비트 A와 B를 더한 합 S와 자리올림(carry) C0를 출력하는 조합회로이다. ... 문제가 발생하므로 2진 연산의 결과에 (6)10 즉 (0110)2를 더해주는 보상회로가 필요.
    리포트 | 13페이지 | 1,000원 | 등록일 2013.10.27
  • 한글파일 논리회로설계 진수변환기
    스케줄 1주차 2주차 3주차 설계목표 및 관련이론습득 자료수집 & 회로도 작성 예비 제안서 작성 & 발표 부품구입 및 회로설계 결과 측정 및 분석 최종 보고서 작성 ... 회로도 (1)3진수 회로 (2)10진수 회로 (3) 최종 결과 회로 3.설계결과 10진수(3진수) 출력파형 ON 1(001) 2(002) 3(010) 4(011) 5(012) 6(020 ... TROUBLE SHOOTING 문제점 해결방안 회로의 복잡함으로 인한 가격,실용성 문제 ☞ 더 간단한 회로를 구성하고 설계 낮은 전압으로 인한 출력오류 ☞ 전압을 높은 것으로 교체
    리포트 | 9페이지 | 2,000원 | 등록일 2012.09.13
  • 파일확장자 성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter
    성균관대 논리회로 설계실험 VHDL을 이용한 8bit decimal Counter입니다.
    리포트 | 1,000원 | 등록일 2017.05.23
  • 한글파일 논리회로설계실험 프로젝트 8Bit 계산기
    논리회로설계 설계 보고서 #1 1. ... 설계 배경 및 목표 논리회로설계 수업을 진행하며 학습한 내용을 활용하여 목표에 따른 논리회로설계한다. 8bit 덧셈기를 구현하고 결과를 7 segment로 출력하는 회로설계하는 ... 논리회로설계는 목표는 같더라도 설계하는 방법에는 수많은 길이 있다, 우리는 BCD 덧셈기는 동작적 표현의 if문, 7segment는 자료흐름적 표현의 병행 신호 처리문, 두 회로
    리포트 | 11페이지 | 2,000원 | 등록일 2015.04.17
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업