• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,440)
  • 리포트(3,856)
  • 자기소개서(399)
  • 시험자료(99)
  • 방송통신대(67)
  • 논문(13)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"논리회로 설계" 검색결과 161-180 / 4,440건

  • 파일확장자 논리회로설계, 7segment verilog 설계
    논리회로설계 7segment verilog 설계입니다. 발표자료(ppt)와 verilog .v 파일이 폴더 안에 있습니다. ... verilog를 이용하여 7segment 회로설계를 할 때 유용하게 참고할 수 있습니다.
    리포트 | 9페이지 | 1,500원 | 등록일 2012.01.12
  • 파일확장자 논리설계회로실험
    실험제목: 비교기(5장 결과 보고서)1. 예비조사 및 실험 내용의 이해 1.1 비교기란? - 비교기는 입력되는 두 수 A, B의 크기를 비교하여 어느 수가 큰지(또는 같은지)를 출력으로 나타내주는 조합회로이다. - 12345와 12678를 비교하는 방법은..
    리포트 | 8페이지 | 1,000원 | 등록일 2009.04.01
  • 워드파일 논리회로설계실습-비교기-MUX-ALU-예비보고서
    논리회로설계 실험 예비보고서 #5 실험 5. 조합 회로 설계-비교기_MUX_ALU 실험 목표 비교기와 MUX, DEMUX 그리고 ALU의 작동에 대하여 이해한다. ... 산술논리연산장치(ALU: Arithmetic logic unit) 산술논리연산장치는 산술연산, 논리연산 및 시프트(shift)를 수행하는 중앙처리장치 내부의 회로 장치로, 독립적으로 ... 예비 이론 비교기(Comparator) 비교기란 두개의 입력을 서로 비교하여 그 결과를 알려주는 회로이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 한글파일 논리회로설계실습-비교기-MUX-ALU-결과보고서
    논리회로설계 실험 결과보고서 #5 실험 5. 조합회로 설계 - 비교기, MUX, ALU 1. ... 실험 목표 4비트 크기의 이진수 A, B와 2비트 크기의 선택 신호 S를 입력으로 받아 5비트 이진수 Y를 출력하는 산술논리연산장치(ALU)의 동작을 이해하고 설계한다. ... 고찰 (1) 조원1의 고찰 주어진 산술논리연산장치(이하 ALU)를 가산기능에서는 함수를, 감산기능에서는 프로시져를 사용한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2018.01.10
  • 파일확장자 [VHDL][논리회로] Full adder 설계(xor과 and or not)
    [VHDL][논리회로] Full adder 설계 A+받은 설계 입니다 xor과 and or not 2가지로 설계
    리포트 | 1,000원 | 등록일 2014.11.15
  • 한글파일 05 논리회로설계실험 예비보고서(조합회로)
    예비 이론 (1) 비교기두 이진수의 크기를 비교하는 조합 논리회로로 비교를 통해서 생성되는 결과는 AB, A=B 가 있다. ... VHDL 문법 중 function과 procedure에 대해 알아보고 이를 이용하여 ALU를 설계해본다.2. ... 여러 개의 회로가 단일 회선을 공동으로 이용하여 신호를 선택적으로 전송하는 데 쓰인다. 멀티플렉서는 데이터 입력과 선택입력을 가지고 있다.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 한글파일 05 논리회로설계실험 결과보고서(조합회로)
    논리회로설계 실험 결과보고서 #5 실험 5. 조합회로 설계 1. 실험 목표 비교기, MUX, DEMUX, ALU에 대해서 알아본다. ... 고찰 ALU를 설계해 보았다. ALU는 중앙처리장치의 일부로서 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리 동작을 하는 디지털 회로이다. ... 우리는 기능표에 따른 동작을 수행하는 ALU를 설계하였다. 첫 번째 8가지 기능을 가진 ALU설계에서는 조건적 병행 신호 처리문(when~else문)을 이용하여 설계하였다.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 한글파일 논리회로실험 - 제 8장 VHDL의 순차 논리 회로 설계에서 Finite state machine을 설계 결과보고서
    Introduction VHDL의 순차 논리 회로 설계에서 Finite state machine을 설계한다. ... Design (1)어떠한 회로설계할 것인가 1) 1)FSM FSM이란 Finite state machine의 약자로 일정한 천이 가능한 상태 내에서만 동작하는 순차 논리 회로이다 ... 과 목 : 논리회로설계 과 제 명 : 결과보고서 8 담당교수 : 김종태 학 과 : 전기전자공학부 학 년 : 3 학 번 : 이 름 : 제 출 일 : 2013 / 5 / 29 1.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • 한글파일 아주대 논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    있어서 Latch는 하나 이상의 비트를 저장하기 위한 디지털 논리회로를 말한다. ... 설계 요소 * 입력 : Start/Stop, Lap/Reset 버튼 2개로 구성 * 출력 : 7segment 5개를 사용하여 분, 초, 0.1초를 구현 1) FPGA ... 카운팅을 멈추면 비로소 1의 값을 가지게 되어 그 때 reset 버튼을 누르면 둘 다 1의 값을 가지게 되어 clear 단자가 작동한다. 3) 래치 (D Latch) : 디지털 논리회로
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • 한글파일 디지털논리회로 FSM 설계 유료 빨래방 구동회로
    DIGITAL LOGIC CIRCUIT _ 디지털논리회로 디지털논리회로 유료 빨래방 세탁기 구동회로 FSM 설계 디지털논리회로 (#1,Project1) 제출날짜 담당교수 강성호 교수님 ... 회로의 복잡도를 줄이기 위해 스스로 가정을 세우고, 이를 바탕으로 설계할 수 있다. 이는 전체 동작의 타당성을 해치지 않는 범위 내에서 가능하다. 2. ... 조원 1.Title 유료 빨래방 세탁기 구동회로 FSM 설계 수업시간에 배운 Finite State Machine(FSM)을 통해 유료 세탁기의 동작을 컨트롤 할 수 있는 회로
    리포트 | 13페이지 | 3,000원 | 등록일 2013.10.28
  • 한글파일 논리회로 설계실험 memory 설계
    Memory 설계 1. ... 수 있는지에 대해 초점을 맞춰 설계한다. ... Purpose: 메모리를 설계하려면 가장 먼저 데이터를 저장할 수 있는 공간을 만들어야 하는데, 그 공간을 어떻게 만들 수 있는지와 데이터를 메모리에 쓸 때 어떤 문법을 사용하여 쓸
    리포트 | 7페이지 | 1,000원 | 등록일 2009.07.10
  • 한글파일 BCD-7세그먼트 디코더 논리회로 설계보고서
    설계준비보고서 2009069160 김기훈 ? 설계 제목 - BCD-7세그먼트 디코더 논리회로 ? ... AND - OR의 게이트 꼴 NAND - NAND 게이트 꼴 4) 세그먼트 논리게이트 회로 구상 Qurtus2를 이용한 실제 회로 설계 2입력 AND와 OR게이트와 NOT 게이트만으로 ... 설계 목표 - 7세그먼트에 표시기라는 소자 및 BCD 코드에 대한 이해 - 이론을 바탕으로 BCD-7세그먼트 논리회로를 구성 배경이론 7세그먼트 표시기라고 하는 소자는 0에서 9까지의
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.03
  • 한글파일 논리회로 실험 및 설계
    논리회로 실험 및 설계 1. 설계 목적 : 논리회로 이론을 기초로 하여 자판기를 창의적인 방법으로 설계한다. 2. ... 전체적인 회로는 모두 성공적이었고, 추가설계까지 완성했기 때문에 만족스러웠지만 직접 제작을 해 보지 못한 것과 설계시간이 너무 길었던 점이 아쉽다. ... 완성 회로도 7. 자체 평가 : 반환LED가 들어오는 부분과 초를 맞추기가 힘들어서 생각보다 설계시간이 오래 걸렸다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.10.15
  • 한글파일 논리회로설계실험 FND(Flexible Numeric Display)제어 7 segments
    Lec #8. FND(Flexible Numeric Display) 제어 - 7 segments - 1. 실험 내용 1) 7개의 조각으로 나뉘어진 LED에 입력신호에 따라 숫자나 간단한 기호 점등 2) 제어 데이터에 따른 숫자 점등 표시할 숫자 Segment 제어 데..
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • 한글파일 [논리회로설계실험]1bit 비교기 와 4bit 비교기
    실험 내용 1) 1bit 비교기 두 입력이 서로 같은지 또는 다른지를 비교하여 알려주는 회로로써 두 입력이 같으면 '1'을 출력하고, 다르면 '0'을 출력하는 회로 표 1 1bit ... 이용 할 신호들을 선언 signal input_b : std_logic; signal output_eq : std_logic ; component comp_1bit -- 이용할 회로 ... : in std_logic; b : in std_logic; eq : Out std_logic ); end component; begin key : comp_1bit -- 지정된 회로
    리포트 | 5페이지 | 1,000원 | 등록일 2015.07.07
  • 한글파일 논리회로실험17 동기식 카운터의 설계
    논리회로실험 결과 보고서 실험. ... 설계회로와 그림 16-1의 회로를 비교해 보시오 Jb Kb Ja Ka 2) 이 실험에서 다룬 순차와 역순인 동기식 카운터를 설게하려고한다. 어떻게 해야 하는가? ... - 현재상태의 000 -> 100 의 순차를 100-> 000 으로 바꾸어 표를 그린후 동기식 카운터 설계 방법에 따라 위 실험에서와 마찬지로 다음상태표, 여기표, 카르노맵, 논리함수구현
    리포트 | 3페이지 | 1,000원 | 등록일 2012.09.08
  • 한글파일 논리회로 설계실험 mux
    Multiplexer 설계 1.
    리포트 | 7페이지 | 1,000원 | 등록일 2009.07.10
  • 한글파일 논리회로설계실험 비동기 카운터 설계
    비동기 카운터 설계 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt_4 is
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • 한글파일 01 논리회로설계실험 예비보고서(And,or gate)
    논리회로설계 실험 예비보고서 #1 실험 1. 기본게이트 설계 1. 실험 목표 VHDL을 이용하여 AND gate와 OR gate를 설계한다. ... 모듈들을 서로 연결하여 회로설계하는 방법. ... 회로설계가 불편하며, 동작이해가 어려워 큰 규모의 회로설계 시 어려움이 따른다. (4) AND, NAND, OR, NOR, XOR 게이트 조사 1.
    리포트 | 8페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 한글파일 04 논리회로설계실험 예비보고서(인코더,디코더)
    논리회로설계 실험 예비보고서 #4 실험 4. 디코더 엔코더 설계 1. 실험 목표 VHDL 코드를 이용해 Decoder, Encoder 비교기를 설계하는 방법을 익힌다. ... 예를 들어 10진수나 8진수를 입력으로 받아들여 2진수나 BCD와 같은 코드로 변환해 주는 조합논리회로이다. ... BCD to 7 segment 회로도 3.
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업