[전자회로]VHDL을 이용한 신호등 설계
- 최초 등록일
- 2003.11.13
- 최종 저작일
- 2003.11
- 17페이지/ 압축파일
- 가격 2,000원
소개글
VHDL로 설계한 신호등입니다. 차량 2대가 2개의 신호등을 보면서 클럭에 따라 진행합니다. 클럭은 MP3000키트에서 25MH의 외부클럭은 1HZ로 분주시켜서 사용하였습니다. 텀프로젝트나 졸업과제로 적다할듯 싶습니다. 궁금하신점 있으면 메일 주십시요,
한글화일은 한글2002형식으로저장되어있습니다.
소스화일과 발표자료(ppt)등이 포함되어있습니다.
목차
없음
본문내용
◆ 소스코드
1. top block source
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity top is
Port ( CLK : in std_logic;
rst : in std_logic;
LIGHT_OUT : out std_logic_vector(5 downto 0);
FIRST_OUT : out std_logic_vector(6 downto 0);
SECOND_OUT : out std_logic_vector(6 downto 0)
);
end top;
참고 자료
VHDL기초와 응용