• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL을 이용한 교통신호기제어

*수*
개인인증판매자스토어
최초 등록일
2011.12.30
최종 저작일
2010.10
10페이지/한글파일 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

1) 설계의 목표
◇ 사거리신호를 기준으로 특정 시간을 주기로 LED가 제어되는 신호등의 구현.
◇ 점멸기능의 추가 (점멸 버튼을 누르면 황색램프와 보행자 신호가 점멸되는 기능이 추가되는 신호등의 제어).

2) 구성요소
◇ 클럭(Clock) : 1Hz
◇ 버튼 : 점멸표시 버튼(1개)
◇ 신호등용 LED : 녹색등용(8개), 적색등용(4개) ⇒ 직진과 좌회전
◇ 보행자용 LED : 녹색등용(8개), 적색등용(8개) ⇒ 한 방향 당 2개씩

3) 신호기 동작
◇ 신호등 표시전환 : 주어진 시간 동안 주행 및 보행자 신호를 표시하되, 남 서 동 북 순으로 전환
◇ 주행 신호 : 주어진 시간에서 2초를 뺀 만큼 녹색 주행신호가 표시, 나머지 2초는 황색 대기신호표시
◇ 보행 신호 : 3초 동안 녹색 보행신호 표시, 주어진 시간에서 2초를 뺀 시간까지 1초간 녹색 보행신호가
점멸, 나머지 2초는 적색의 보행금지 신호 표시
◇ 점멸 신호 : 점멸 버튼을 누를 경우 모든 황색 신호가 1초 주기로 점멸, 녹색 보행신호도 1초의 주기로
점멸 표시

목차

- 개 요 -

1. 교통신호기 제어의 설계

2. 하드웨어 구조

3. VHDL CODE 분석 및 결과

4. 결론 및 느낀점

본문내용

1. 교통신호기 제어의 설계
1) 설계의 목표
◇ 사거리신호를 기준으로 특정 시간을 주기로 LED가 제어되는 신호등의 구현.
◇ 점멸기능의 추가 (점멸 버튼을 누르면 황색램프와 보행자 신호가 점멸되는 기능이 추가되는 신호등의 제어).

2) 구성요소
◇ 클럭(Clock) : 1Hz
◇ 버튼 : 점멸표시 버튼(1개)
◇ 신호등용 LED : 녹색등용(8개), 적색등용(4개) ⇒ 직진과 좌회전
◇ 보행자용 LED : 녹색등용(8개), 적색등용(8개) ⇒ 한 방향 당 2개씩

3) 신호기 동작
◇ 신호등 표시전환 : 주어진 시간 동안 주행 및 보행자 신호를 표시하되, 남 서 동 북 순으로 전환
◇ 주행 신호 : 주어진 시간에서 2초를 뺀 만큼 녹색 주행신호가 표시, 나머지 2초는 황색 대기신호표시
◇ 보행 신호 : 3초 동안 녹색 보행신호 표시, 주어진 시간에서 2초를 뺀 시간까지 1초간 녹색 보행신호가
점멸, 나머지 2초는 적색의 보행금지 신호 표시
◇ 점멸 신호 : 점멸 버튼을 누를 경우 모든 황색 신호가 1초

참고 자료

없음

자료후기(1)

*수*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 교통신호제어VHDL 설계 4페이지
    교통신호제어기 설계 Ⅰ. 수행 및 제출(1) Ⅱ. ... 수행 및 제출(4) 교통신호제어기에는 대기 모드(standby mode ... 그리고 이 신호들을 segment를 이용하여 신호등 두 개로 6부분으로 나누어서
  • 한글파일 [정보통신] VHDL을 이용한 신호제어 5페이지
    교통 신호 제어기 . 1. ... TLC 제어기(TLC_CTL) 앞절에서 설명한 교통 신호 제어기의 동작을 ... 교통 신호 제어기(TLC)의 개요 차량의 통행이 드문 농로와 교차하는 간선
  • 파워포인트파일 Digital System Design VHDL(디지털 시스템 디자인 VHDL) 53페이지
    디지털 시스템 Up-Down Counter Logic 설계 제어신호에 의하여 ... 신호를 생성 할 수 있다 . ... 상승 에지에서 EN 신호의 논리값이 ‘ 1 ’ 일때는 카운터로 동작하며
최근 본 자료더보기
탑툰 이벤트
VHDL을 이용한 교통신호기제어
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 09일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:48 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기