• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(396)
  • 리포트(359)
  • 시험자료(24)
  • 자기소개서(8)
  • 방송통신대(4)
  • 논문(1)

"TT조건" 검색결과 161-180 / 396건

  • 한글파일 초영역인재 독후감
    책에서는 TT자형의 사같은 인재인 것 같다. ... 새로운 인재의 조건은 영역 뛰어 넘기다. ... 그것이 기업에게는 성장 엔진을 찾게 하며, 지속가능한 경영 조건을 만들도록 이끌어준다. 이에 따라 개인은 경쟁 구도를 달리하는 것은 물론, 삶의 발전 조건도 달리할 수 있다.
    리포트 | 5페이지 | 1,500원 | 등록일 2013.01.12
  • 한글파일 IEC에 의한 접지방식(TN,TT,IT방식)
    TT 접지계통 (중성선 접지) 전원의 한 점을 대지에 접속한다. 모든 노출도전성 부분과 계통외 도전성 부분은 부하설비에서 별도의 접지극에 접속된다. ... TN 접지계통 (중성선에 접속된 노출도전성 부분) 전원은 TT계통과 마찬가지로 접지된다. 설비에서 모든 노출도전성 부분과 계통외 도전성 부분은 중성선에 접속된다. ... . - 누설전류 보호계전기 또는 절연감시장치의 설치는 지락을 훨씬 민감하게 검출 할 수 있고 여러 가지 조건에서 전동기의 파손,화재,감전에 의한 사망 등 중대한 피해가 발생되기 전에
    리포트 | 4페이지 | 1,000원 | 등록일 2010.03.10
  • 파워포인트파일 전자무역 결제시스템
    , 무역업자, 통신회사 등이 컨소시엄(consortium) 형태로 선하증권의 전자화를 위해 선하증권 전자등록 기구인 볼레로(Bolero)를 조직함 ② 1998년 4월 SWIFT와 TT ... 수수료가 비싸고, 거래절차가 복잡한 신용장 방식보다는 상대적으로 저렴한 수수료와 절차가 간편한 송금방식 선호 ☞ 신용장 방식의 사용이 감소한 이유 ① 서류매입 수수료 부담 ② 신용장 조건에 ... matching)가 확인된 후, 다른 은행에 대하여 특정기일에 대금을 지급할 것을 ICC 규칙에 따라 취소불능 으로 보증하는 (undertaking) 것을 의미함 - 은행 간 취소불능 조건
    리포트 | 28페이지 | 4,000원 | 등록일 2013.01.26
  • 한글파일 미국 이동통신시장의 현황과 추후전망
    셰릴 밀러라는 직원은 “월 40달러짜리 데이터요금제에 2년 약정으로 가입하면 된다”고 염가 판매 조건을 설명했다. ... 한국에서는 휴대폰을 사용하는 사람이라면 누구나 TT매우 보편화 되어 있으며, 가맹점 또한 웬만한 유명한 프랜차이즈에서는 다 가능하기 때문에 인기가 많다.
    리포트 | 4페이지 | 1,500원 | 등록일 2013.12.27
  • 한글파일 무역서류의 전자화
    네덜란드·스웨덴·영국·미국의 무역업자와 운송업자, 은행, 통신회사 등이 컨소시엄) 형태로 선하증권 등의 선적서류의 전자화를 실현시키기 위하여 예비실험을 하였고, 물류상호보험조합인 TT ... 의의 신용장이란, 신용장 개설은행이 신용장에 기재된 조건을 충족하는 서류를 제시하는 경우에 수출업자인 수익자 또는 그의 대리인에게 대금을 지급하겠다는 확약을 말한다. ... UN의 EDIFACT 및 ICC의 UNCID이 채택 1990년 6월 국제해법회(CMI)가 “전자식선하증권을 위한 CMI규칙”을 채택 1990년 ICC가 인코텀즈를 개정하면서 각 거래조건
    리포트 | 13페이지 | 2,000원 | 등록일 2012.06.27
  • 한글파일 WTO와 환경 노동문제 연구
    이 조항에서 문제되는 것은 동종상품의 개념인데, 동종상품에 대한 일련의 개념정의에서 오는 여러 가지 문제는 어떤 상품과 본질적으로는 같으나 이 상품을 생TT 제3조 1항은 가격에 영향을 ... 현실의 경제는 교과서적인 완전경쟁시장과는 실제적인 운용에 있어서 괴리가 크므로, 노동제, 최저임금, 노동행정, 노사관계, 고용정책, 근로조건, 사회보장, 직업안정 및 건강, 해상고용 ... 노동과 통상문제의 의의 각국의 근로조건의 차이는 국가간의 경쟁력을 결정하는 주요요소라는 인식하에 국제무역과 노동기준을 연계시키려는 시도(소위 사회적 조항으로 통칭됨)는 19세기 후반부터
    리포트 | 4페이지 | 1,000원 | 등록일 2013.01.13
  • 한글파일 미래 자동차기술
    아우디 TT모델과 도요타 프리우스를 개조한 무인자동차는 20만마일(약 32만1800km)에 이르는 미국 서부 네바다주 곳곳을 주행하는 데 성공했다. ... 간단히 말하면 사람이 자동차에 탑승해 몇 가지 조건만 입력하면 탑승자가 핸들을 조작하거나 가속과 브레이크 페달을 밟지 않아도 자동차 스스로 이동경로를 찾아 목적지까지 갈 수 있게 만든다는
    리포트 | 7페이지 | 1,500원 | 등록일 2013.11.10
  • 한글파일 스포츠와 현대사회-장애인 올림픽
    탁구에는 장애에 따라 모두 11개의 등급이 있는데 지적 장애영역을 위한 한 개 등급(TT 11)을 제외한 나머지 10개의 등급(TT 1~10)은 뇌성마비, 절단 장애 및 기타장애 영역에 ... 다른 종목과 마찬가지로 탁구도 비슷한 신체적인 조건의 선수들이 경쟁할 수 있도록 장애등급에 따라 경기를 치룬다. ... 또한 18세 이전에 이러한 조건을 갖게 되었고, 의사소통, 자기보호, 가정생활, 사회적 기술, 자발적인 태도, 건강과 안전, 여가생활과 직장생활 중 두 가지 이상에 있어 제한된 기능을
    리포트 | 4페이지 | 1,000원 | 등록일 2010.12.17
  • 한글파일 초,중등 컴퓨터수업 단원분석 정보처리의이해 단원 분석
    turtle(거북)의 약자 tt 30,30 : 거북이가 좌표 (30,30)으로 이동 cls For Clear Screen의 약자 (=청소) 화면을 깨끗하게 지워주어 그렸던 흔적을 ... forward(나아가게 하다)의 약자 (=가자) fd 30 : 거북이가 앞으로 30만큼 이동 rt rotate(회전하다)의 약자 (=돌자) rt 90 : 거북이가 반시계방향으로 90도 회전 tt ... 조건 제시 학생들의 성취도 및 어려워하는 정도에 따라 괄호를 넣어 명령문을 완성하게 하거나, 조건을 일반자료 종이카드, 4절지 ICT자료 ppt 자료 ?
    리포트 | 27페이지 | 3,000원 | 등록일 2010.01.16
  • 한글파일 [MATLAB] OCTAVE FILTER & 인코딩과 디코딩
    부합하면 시작주파수 지정 if sigxx3(jkl(end))>0, jkl = [jkl;Lx]; end %%조건에 부합하면 끝주파수 지정 indx = []; while length( ... 그렇지않으면0 jkl = find(sigxx3~=0)'; %%sigxx3가 0이 아닌 부분을 find. if sigxx3(jkl(1)) ... = 0:1/fs:dur2(q); z = cos(2*pi*Fo*tt2); %% FM신호 octav = [octav,z,td]; %% for문이 한 l(octav) 결과 설명 결과 각
    리포트 | 3페이지 | 2,000원 | 등록일 2009.04.28
  • 워드파일 [디통]Design of Communication System in AWGN Channel
    tblen , 'trunc','hard'); %demodulation 것을 decoding 한다. decoded1= [ decoded1 ; decoded]; end [num_c tt ... /2); rx = txpsk + randn(len,aa)*gain; %AWGN 채널 통과 recovpsk = pskdemod(rx,M); %demodulation [num_unc tt ... gain 구함 rx = txpsk + randn(len,aa)*gain; %AWGN 채널 통과 recovpsk = pskdemod(rx,M); %demodulation [num_unc tt
    리포트 | 6페이지 | 4,800원 | 등록일 2009.01.03
  • 워드파일 Pure Aloha 및 Slotted Aloha Matlab 구현및 시뮬레이션
    = 1 : T for nn = 1 : N if A(nn,tt) ... 어떠한 조건없이 패킷을 받는 즉시 그대로 송출하며 이 때 보내는 도중에 어떤 다른 패킷의 데이터가 전송될 경우 두 노드에서 모두 충돌이 일어난 것으로 보고 일정시간이 지난후에 실패한 ... Aloha 구현 T = 10000; N = 100; A = rand(N,T); S1 = zeros(21,T); S2 = zeros(1,21); for pp = 1 : 21 for tt
    리포트 | 20페이지 | 4,000원 | 등록일 2008.11.03
  • 한글파일 요약정리과제_독립
    표본의 사례수에 따라 수많은 분포가 존재하게 되고 사례수가 커지면 커질수록 z분포에 근접하게 됨 ◆ 자유도(degree of freedom) : 어떤 점수 분포가 있을 때 주어진 조건 ... .05 ③ 가설을 검증할 검정 통계량 진술 ④ 영가설이 참이라는 가정 하에서 검정통계량의 무선표집분포를 진술함 df=N-1인 t분포를 따름 ⑤ 임계값 또는 영가설의 기각영역의 진술 tt ... 가설을 검증할 검정 통계량 진술 ④ 영가설이 참이라는 가정 하에서 검정통계량의 무선표집분포를 진술함 df가 N1+N2-2인 t분포를 따름 ⑤ 임계값 또는 영가설의 기각영역의 진술 tt
    리포트 | 4페이지 | 1,000원 | 등록일 2009.10.09
  • 파워포인트파일 국제무역 전자무역
    인터넷을 활용한 정보 수집 가능 품목 전자무역에 적합한 상품 소형 · 경량 · 표준화 · 무체물 유리 컴퓨터 소프트웨어가 대표적 아이템선정 해외시장 조사 해외 마케팅 거래선 발굴 거래조건 ... 거래당사자들의 신원 거래내용을 인증하는 최종 책임 EU 로부터 안정성과 국제성을 인정받음 전자무역 결제 방식 비교 BOLERO Trade Card Identrus 추진주체 SWIFT, TT
    리포트 | 18페이지 | 2,500원 | 등록일 2011.09.22
  • 파워포인트파일 프리미엄아이스크림 업종 분석
    Redirect=Log logNo=21194078 - 창업 114 http://www.CU114.co.kr/tt/culand/category 참고 문헌 감사합니다 {nameOfApplication ... 프리미엄 아이스크림 업종 분석 - 시장 현황 및 규모 - 프리미엄 아이스크림 업종의 성공요인 - 프리미엄 아이스크림 업체 현황 - 업체간의 창업 비교 - 소비자 분석 - 입지 조건 ... 인스토어 트레이닝 진행 , 스토어 컨설턴트의 주기적 방문 콜드스톤 입지조건이 매출의 50% 이상을 결정 주 고객층인 20 대 여성고려 대학가 중심상권 , 백화점 식품매장 , 중 /
    리포트 | 26페이지 | 2,000원 | 등록일 2010.11.02
  • 한글파일 [자바프로그래밍] 자신의 태어난 날로부터 현재까지의 시간을 초로 출력
    = StreamTokenizer.TT_EOF) { // 다음 토큰을 읽는다 switch(st.ttype) { case StreamTokenizer.TT_WORD: System.out.println ... 다음과 같은 내용을 token.txt 파일에 저장하고, token.txt 파일의 내용을 읽어 다음에 기술된 조건에 맞는 토큰을 생성하는 프로그램을 작성하여, 그 결과를 보이시오. token.txt ... (st.lineno() + " 단어) " + st.sval); break; case StreamTokenizer.TT_NUMBER: System.out.println(st.lineno
    리포트 | 7페이지 | 1,000원 | 등록일 2009.01.20
  • 워드파일 세관 조사 개념 및 주의사항
    추징시 부족 납부세액의 20%에 상당하는 가산세를 병과한다. (2) 과세가격 또는 관세율을 허위로 신고하거나 신고를 하지 않은 경우, 법에 의한 허가, 승인, 추천, 증명 기타 조건을 ... 특히나 신용장 거래이면 은행에서 관리를 하여 더블 체크가 되지만 TT 송금 건은 회계팀에서 장기외화 매출채권 관리를 안 해주면 걸릴 수 밖에 없다. ... 부정 관세환급 조사에 대응하기 위해서 관세환급자료 (기납증, 분증 등)을 잘 갖루어 놓고 TT 입금 증명, 환어음 매입에 관한 증빙을 갖고 있어야 한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2011.04.27
  • 한글파일 캠코더 블랙박스 수출 및 해외영업에 경영학이 미친 영향
    전략적으로 유리한 점과 분석과 평가로서 경영자원에 관한 과거 -> 현재 -> 미래(YYT : Yesterday -> Today -> Tomorrow) 분석 내지 현재 -> 미래(TT ... 그리고 계획을 수립하는 과정에서 장기, 단기적 비용 + 소요이익 = 판매액의 조건이 성립을 하도록 계획을 수립을 하고 갈등의 발생과 그 해결과정으로서의 성격을 가져야 한다. ... 둘째, 해외영업을 하는 각 기업에 성장단계에 따라서 해외영업을 하는데 성장, 중간성장 및 비 성장의 3부분으로 나누고, 저마다 다른 요구와 조건에 따라서 다른 계획수립시스템을 설계하는
    리포트 | 5페이지 | 1,000원 | 등록일 2012.06.27
  • 한글파일 DC Power Supply 설계
    . < Matlab Code > % Yf -> 결과 , ff -> 주파수 축 tt=0:0.0001:0.5; xx = syn_fourier(tt, ak, fk); Vdc=Yf(find ... ^-6]; C_cost=[3,6,10,14,18,25,33,35,37,40]; L=[0.2:0.2:2]; L_cost=[3.5,7,11,15,19,25,32,36,38,40]; %조건에 ... *Hf; yt=syn_fourier(tt,Yf,frk); Vdc=(rf(find(frk==0)))*(Hf(fi 계수 계산 coeff=ripp*100/(price); % 가격대 성능비
    리포트 | 8페이지 | 5,000원 | 등록일 2010.05.20
  • 한글파일 해외 [호주, 아프리카, 유럽] 에서의 옥수수 종실, 부산물 효율적 이용, 재활용
    춥고, 습한 조건에서 강함. 곡식과 사일리지에 적합. 좋은 줄기와 뿌리 저항성. 빠른 건조 아래 - 빠른 성숙이 가능. 일찍 또는 늦게 심기. ? ... &tx_ttnews[tt_news]=379&cHash=315585822d">http://www.organic-world.net/38.html? ... Hycorn 424 냉각 조건에서도 우수 짙은 녹색 잎 높은 곡물 수확량 스트레스 내성 우수 높은 곡물 생산성과 여물비 우수 - 높은 품질의 사일리지. ?
    리포트 | 30페이지 | 4,000원 | 등록일 2011.02.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업