• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(396)
  • 리포트(359)
  • 시험자료(24)
  • 자기소개서(8)
  • 방송통신대(4)
  • 논문(1)

"TT조건" 검색결과 181-200 / 396건

  • 한글파일 전자무역에 대해서
    세계 유명 금융그룹인 SWIFT와 물류 협회인 TT Club{) TT Club(Through Transport Club) - 전 세계 12,500개 이상의 물류기관의 지분으로 구성하 ... 이때 금융기관을 Funder(Funder는 은행으로만 한 정하지 않는 넓은 의미의 금융기관을 포함한다)라고 한다. 2 수입자와 수출자는 무역거래조건을 협상 및 합의한다. 3 수입자는 ... 전자식 船貨證券의 물품인도청구권의 이전과정 { { 전자식 선화증권의 물품인도청구권의 이전과정 1 운송인과 송화인 사이에 운송계약이 체결되고 송화인의 지시에 의한다는 계약조건
    리포트 | 11페이지 | 1,000원 | 등록일 2009.03.12
  • 한글파일 수치해석 - 매틀랩 (matlab)을 이용한 자유 큐빅스플라인(free cubic spline), 고정 큐빅스플라인(clamped cubic spline), 뉴턴 제차분(newton divide difference) 보간법 (interpolation)
    나누어지는 구간 수만큼의 다항식을 이용하는 Spline Interpolation은 상당한 정확도를 보여 주었는데 Free Spline Interpolation 과 그것보다 두가지의 경계조건을 ... =length(t); ft=zeros(1,tt); for k=1:tt for i=1:n-1 aaa=1; bbb=1; for j=1:i aaa=(t(k)-x(j)); bbb=bbb*aaa ... =length(t); ft=zeros(1,tt); start=1; for i=1:n-1 for k=start:tt if t(k)
    리포트 | 10페이지 | 2,000원 | 등록일 2007.11.22
  • 한글파일 uTradeHub의 구축내용
    확약서를 가리키며, 국내외 무역업자가 발행한 것을 국내발행 오퍼, 외국의 수출업자가 발행한 것을 국외발행 오퍼라고 한다. 4) T/T(Telefraphic Transfet)전신환 TT라고 ... 유효기간이 확정되어 있으며, 그 기간 내에 승낙할 것을 조건으로 하는 오퍼를 말한다. ... 해외수발주관리 1) Firmoffer(확정오퍼) 명시된 유효기간 내에 승낙할 것을 조건으로 하는 오퍼.
    리포트 | 3페이지 | 1,000원 | 등록일 2010.07.20
  • 워드파일 [TOC] 사고프로세스
    이행트리(Transition Tree;TT) - 실행계획으로서 각 중간목표를 달성하기 위한 커뮤니케이션 도구로서 사용 7. ... Breakthru(문제타개) 아이디어를 주입하는 대립해소도 (1) 중핵문제 반전(중핵문제가 해결된 상태) → 중핵문제 해결하기 위한 필요조건 부가 → 필요조건의 전제조건 부가(필요조건과는 ... 이 경우 두개의 필요조건은 서로 모순(대립)관계이다. 여기서 전제조건과 필요조건 사이의 화살표를 하나씩 확인해본다.
    리포트 | 5페이지 | 1,000원 | 등록일 2003.01.04
  • 한글파일 궁핌화 성장론 과 생산가능곡선 도출
    경제성장의 결과 생산가능영역이 TT에서 T'T'로 확장되어 수출재 쪽이 훨씬 커진다. 성장 전에 국제교역조건이 P?이라면 자유무역으로 W? ... 경제성장으로부터의(+)효과 < 교역조건 악화로부터의 (-)효과 = 후생감소(궁핍화 성장) 경제성장으로부터의(+)효과 > 교역조건 악화로부터의(-)효과 = 후생증가 2. ... 교역조건이 악화돼도 그 정도가 심하지 않으면 실질소득은 감소하지 않을 것이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.11.10
  • 한글파일 [통계학]요약정리과제_종속t
    짝진 표본평균의 차에 대한 t 검정 ◆ 짝진 표본이 만들어 질 수 있는 조건 ① 같은 피험자가 연구의 두 조건에 다 사용됨 ② 다른 피험자들이 사용되어 그들은 관찰되고 있는 변수들과 ... .05 ③ 가설을 검증할 검정 통계량 진술 ④ 영가설이 참이라는 가정 하에서 검정통계량의 무선표집분포를 진술함 df가 30인 t분포를 따름 ⑤ 임계값 또는 영가설의 기각영역의 진술 tt ... .05 ③ 가설을 검증할 검정 통계량 진술 ④ 영가설이 참이라는 가정 하에서 검정통계량의 무선표집분포를 진술함 df가 9인 t분포를 따름 ⑤ 임계값 또는 영가설의 기각영역의 진술 tt
    리포트 | 7페이지 | 1,000원 | 등록일 2009.10.10
  • 워드파일 [워드2003]디통_Design of Communication System in Fading Channel
    row-wise'); coding_biterr= sum(num_coding); coding_ber_1(snrindex)=coding_biterr/(len*bit); [num_unc tt ... q_txpsk_uncoding]= qpskmod(msg_total , len, bit/2,M/2); [i_txpsk,q_txpsk] = qpskmod(coded1,lenend [num_coding tt ... 하지만 변조차수는 시스템설계 제한조건을 만족해야하고, 조건을 만족한다면 주파수효율이 높아지게 되고 더 좋은 성능의 통신시스템을 구현할 수 있다. 4.
    리포트 | 9페이지 | 4,800원 | 등록일 2009.03.01
  • 한글파일 GPS 측정실험
    아우디 TT모델과 도요타 프리우스를 개조한 무인자동차는 20만마일(약 32만1800㎞)에 이르는 미국 서부 네바다주(州) 곳곳을 주행하는 데 성공했다. ... 간단히 말하면 사람이 자동차에 탑승해 몇 가지 조건만 입력하면 탑승자가 핸들을 조작하거나 가속과 브레이크 페달을 밟지 않아도 자동차 스스로 이동경로를 찾아 목적지까지 갈 수 있게 만든다는
    리포트 | 8페이지 | 1,000원 | 등록일 2013.01.02
  • 워드파일 [워드2003]디통_Design of Communication System in AWGN Channel
    tblen , 'trunc','hard'); %demodulation 것을 decoding 한다. decoded1= [ decoded1 ; decoded]; end [num_c tt ... gain 구함 rx = txpsk + randn(len,aa)*gain; %AWGN 채널 통과 recovpsk = pskdemod(rx,M); %demodulation [num_unc tt ... 이 그래프로부터 주어진 조건에서 10-2이하의 성능을 보이고 있음을 알 수 있고, 콘볼루션코딩을 통해 Uncoded한 경우 보다 성능이 좋아지는 것을 확인할 수 있다.
    리포트 | 6페이지 | 3,800원 | 등록일 2009.03.01
  • 한글파일 교수학습 및 교육공학 OX문제
    조건화는 지성의 표출과 무관하며, 훈련은 지성의 표출과 어느 정도 관련이 있으므로, 조건화가 훈련보다 교수의 의미에서 더 멀리 떨어져 있다. 6. ... 협동교수(치상호작용), TTI(특성처치상호작용), TTTI(Task, Trait, Treatment Interaction), IGE(개별인도수업), TT, IPI 16. ... 무학년제란 학생들의 개인차를 기초로 개별 학생들의 흥미와 능력에 맞는 수준의 과정을 밟을 수 있도록 여러 조건을 마련해 주는 체제인데, 이는 개인차를 무시하고 동일한 학습 자료로 누구에게나
    리포트 | 66페이지 | 1,000원 | 등록일 2011.10.14
  • 한글파일 [자연과학]까치관찰보고서
    영역(territory)은 영역을 차지한 개체의 안정적인 먹이 자원 확보와 그에 따른 번식 성공 가능성을 제공하는 중요한 수단으로서, 번식에 필수적인 조건이다. ... ①: F/0, P/0, R/1, TT/4 ②: F/1, P/0, R/3, TT/2 03월 21일 9 ①: F/3, P/1, R/0, TT/1 ②: F/3, P/1, R/0, TT/ ... 0, R/1, TT/3 ②: F/2, P/0, R/0, TT/0 03월 19일 5 ①: F/1, P/0, R/0, TT/1 ②: F/1, P/0, R/0, TT/1 03월 20일 17
    리포트 | 8페이지 | 1,000원 | 등록일 2007.05.04
  • 워드파일 [디통]Design of Communication System in Fading Channel
    row-wise'); coding_biterr= sum(num_coding); coding_ber_1(snrindex)=coding_biterr/(len*bit); [num_unc tt ... qpskmod(msg_total , len, bit/2,M/2); [i_txpsk,q_txpsk] = qpskmod(coded1,len,aa/2,M/2); %psk 로 modulatig tt ... 하지만 변조차수는 시스템설계 제한조건을 만족해야하고, 조건을 만족한다면 주파수효율이 높아지게 되고 더 좋은 성능의 통신시스템을 구현할 수 있다. 4.
    리포트 | 9페이지 | 5,800원 | 등록일 2009.01.03
  • 한글파일 그림의 법칙과 베르너의 법칙
    유형1: 무성폐쇄음 & 파찰음 / 단어초두음 위치나, 복자음(-pp- -tt- -kk-)인 경우 p t k & pf ts k 예: germ. ... 이 법칙을 적용한 후 게르만 어휘의 강세가 어근의 첫째 음절로 이동함으로써 사실상 그 중요한 조건의 하나가 제거되어 버렸기 때문에 이 법칙은 모호해졌다. ... 베르너는 원시 게르만이 무성마찰음은 다음 3가지 조건 중 그 어느 하나로 제지되지 않는한 유성마찰음으로 되었다는 것을 지적하였다. ①단어의 어두음이 아닐 때 ②바로 앞에 다른 무성음이
    리포트 | 9페이지 | 1,000원 | 등록일 2012.04.14 | 수정일 2015.11.29
  • 한글파일 부모교육 요점정리
    tt의 인본주의 부모교육 -아동이 문제행동을 보이는 것은 부모에게 어떤 문제가 있어서가 아니라 자녀양육에 대한 경험이나 지식, 기술의 부족에 기인한다고 보았으며, 부모-자녀 간의 ... -수용은 자녀의 감정이나 생각을 포함하는 자녀의존재에 대한 무조건적인수용을 의미한다. ... 긍정적인 존중, 어린 시절 다른 사람으로부터 받는 평가 중요시. ③감정이입(공감) : 가장 훈련가능성이 높은 조건, 가정에 대해 민감하게 주의기울이기, 판단하지 않고, 정서적으로
    시험자료 | 11페이지 | 3,000원 | 등록일 2013.02.16
  • 파워포인트파일 TOC(제약이론) 경영혁신 사례
    Constraints) 생산 System개선 (Logistics) Project관리 (Critical Chain) 스케줄링 VAT 분석 DBR 버퍼관리 CRT EC FRT,NBR,PT,TT ... 목차 TOC의 정의 TOC의 전제조건 TOC의 관점 4. 사례 (박스터 사) 5. 시사점 ..PAGE:3 1. ... TOC의 관점 ..PAGE:14 ■ 제약조건의 5단계와 DBR(Drum-Buffer-Rope) 2.
    리포트 | 46페이지 | 3,000원 | 등록일 2011.03.12
  • 한글파일 등전위 접지
    국제화에도 부합되지 않고 있다. 2) 전기설비기술기준과 IEC60364의 비교 구분 전기설비술기준 IEC60364 접지종별 제1종, 2종, 3종, 특3종 TN(TN-C, TN-S), TT ... 접속한다. 3) 접지선 굵기 접지선의 최소 굵기는 기본적으로 보호도체와 동일하며, 모든 보호도체 의 단면적은 KS C IEC 60364-4-41의 431.1에서 요구하는 자동전원차단 조건
    리포트 | 8페이지 | 1,000원 | 등록일 2013.02.01
  • 파워포인트파일 루이스 설리번의 건축
    아버지 1880 년 ~ 1900 년경까지 미국 시카고를 중심으로 고층 상업건축의 발전에 활약한 건축가 그룹 루이스 설리번의 사상 “ 형태는 기능을 따른다 . ” 기능 : 사회적 필요조건 ... WmnNFsYf5RxutxEOTHSBD0Xjf64= h=375 w=500 sz=217 hl=ko start=48 zoom=1 tbnid=jH14owqagad-4M: tbnh=98 tbnw=130 ei=T4e7Tt3qOoznmAWCw8zpBw
    리포트 | 40페이지 | 2,500원 | 등록일 2011.11.29
  • 한글파일 트랜스젠더의 입양- 넘을 수 없는 현실의 벽
    아동은 가장 일반적인 환경과 조건에서 키워져야 올바르고 원만하게 자랄 수 있다. ... 꼭 트랜스젠더가 아니더라도, 일반인이라고 불리는 사람들의 경우도 많은 절차를 거친 후, 조건이 다 맞을 때야 비로소 입양을 할 수 있다. ... pl=915&ct1=2&PHPSESSID - http://candyd.com/tt/entry/성전환자-그리고-입양 - 유인경, 레이디경향 편집장, 경향신문 칼럼
    리포트 | 5페이지 | 1,000원 | 등록일 2008.06.19
  • 한글파일 침강, 건조 속도 측정 [예비]
    총 건조시간은 초기 및 최종 자유수분함량인 W1과 W2 사이에서 적분하면 건조 공정에서 정속기간과 감속기간을 모두 포함할 때의 총 건조시간 tT는 ? ... 매끈한 원관에서 흐름의 양상이 바뀌는 조건을 조사한 결과, 층류가 난류로 바뀌는 임계유속은, 관 지름, 유체의 점도와 밀도, 평균 유속의 네 양에 따라 달라졌다. ... 고체 물질로부터 비교적 적은 양의 물이나 다른 액체를 제거하여 잔류 액체의 함량을 받아들일 수 있는 낮은 값까지 감소시키는 것을 뜻한다. ① 평형함수율과 자유함수율 일정한 건조 조건
    리포트 | 6페이지 | 1,000원 | 등록일 2011.09.12 | 수정일 2014.02.02
  • 한글파일 경기도 향토음식
    경기도 음식의 특징이 수록 된곳 -> http://archnet.empas.tk/tt/archnet/1941 3. ... 본론 - 경기도 지방은 옛 고려의 수도였던 개성을 포함하며 서울을 가까이 하고 산과 바다가 면해 있는 지역으로 중부에 위치하여 자연 조건의 비교적 좋은 곳이다.
    리포트 | 6페이지 | 2,000원 | 등록일 2011.06.03
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업