• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(396)
  • 리포트(359)
  • 시험자료(24)
  • 자기소개서(8)
  • 방송통신대(4)
  • 논문(1)

"TT조건" 검색결과 81-100 / 396건

  • 한글파일 영화 <왕의 남자> - 비평, 해석, 대중성의 요소, 상품성과 예술성, 통속성과 공감대, 올드보이와의 비교
    영화를 무조건적으로 순응하는 태도는 절대 금물이다. ... , 예술성, 통속성에 대한 주체적 시각을 확보하는 지름길이라고 생각한다. 1) [IMDB] Wang-ui namja, (2005), http://www.imdb.com/title/tt0492835 ... 광대극을 본 왕이 웃어야만 살 수 있다는 조건 하에 다섯 명의 광대들은 왕 앞에서 놀이판을 벌이고, 공길을 마음에 들어 한 연산군은 광대들을 궁중광대로 임명하여 궁에 머물게 한다.
    리포트 | 5페이지 | 4,000원 | 등록일 2019.04.26
  • 한글파일 박막크로마토그래피 결과레포트
    d1id=11&dirId=1115&docId=150482054&qb=cmbqsJI=&enc=utf8§ion=kin&rank=1&search_sort=0&spq=0&pid=Tt1NFlpySENssclXCisssssssth ... 위의 실험에선 시료량, 온도, 용매조건 모두 같은 조건이므로 유일하게 다른 흡착물질의 입자크기(분자량)를 채택하였고 각각의 분자량을 조사해보았다. ... 전개율에 영향을 끼치는 요인에는 용매조건, 정지상의 흡착물질의 입자크기, 점적된 시료량, 온도 등이 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2018.06.25
  • 한글파일 (무역결제론)외국환의 개념
    무역실무에 있어서의 대부분의 경우에는 TT 전신환송금방식으로 결제를 하게 된다. ... 쉽게 풀어서 설명하게 되면, 신용장의 조건과 동일하게 물품의 선적후, 신용장대급을 지급해달라고 말하는 것을 의미하게 된다. ... 수출자가 발행하여 선적대금의 지급확약한 지급인에게 수출자는 신용장의 조건대로 선적을 완료하였으니 선적대금을 요구하게 되는 결제서류라 할 수 있다. 2)어음과 환어음의 차이 어음이란
    방송통신대 | 6페이지 | 3,000원 | 등록일 2018.09.07
  • 워드파일 VLSI 설계 및 프로젝트 실습 (인하대학교 전자공학과) CMOS NAND,NOR Layout Simulation 결과 보고서
    L (임의값) PMOS 1 2 2 1 4 16 2um NMOS 2 1 1 2 1 4 2um 직접 작성한 NETLIST에서도 Layout에서 추출한 NETLIST와 기생소자 외의 조건을 ... NAND 직접 작성한 NETLIST - A에 주기 10ns 펄스 인가 ***NAND .lib 'C:\synopsys\Hspice_A-2007.09\cmos025.l' tt .global ... file created from /home/JSY/NAND.ext - technology: scmos .lib 'C:\synopsys\Hspice_A-2007.09\cmos025.l' tt
    리포트 | 14페이지 | 2,000원 | 등록일 2015.09.30
  • 한글파일 전자재료고분자 Polythiophene의 중합 및 그 응용
    그림 1 한국 연구팀이 상온 조건에서 유연한 기판 위에 제작한 OTFT 그림 2 전자재료폴리머를 이용하여 만든 Organic Solar cellI. ... 이러한 tail (TT) 결합이다. 이러한 3가지 diad는 그림5와 같이 4가지 다른 tirads를 결합할 수 있게한다. ... 이와는 다르게 head to head와 만을 가지는 경우는 regioregular polythiophene이라 하며 head-to-head(HH)와 tail-to-tail(TT) 모두를
    리포트 | 12페이지 | 2,500원 | 등록일 2016.07.31
  • 한글파일 항공대 CAD/CAM(캐드캠) 중간 프로젝트(End-Turn)
    절삭속도 및 이송속도는 추천 절삭조건을 통해 입력하였고, 드릴 공구치수 또한 위의 공구선정의 내용을 참고 했다. 내경 도구는 공구번호 짝수번호 6번으로 지정 하였다. ... 선택하였다. 3.5-3 공구 선정 절단 가공에 유리한 1날 블레이드를 선택하였고, 이에 맞는 인서트4는 TDC 4(TT9080 재종 표준제품)이다. ... 최적화 재종 선택 마무리 정삭 가공 추천 재종 선택 3.2-3 공구 선정 칩브레이커 PC와 홀더 TDJNR/L 2020 K15 선정 후 인서트 DNMG 150608 PC (재종 TT5100
    리포트 | 24페이지 | 4,000원 | 등록일 2015.01.06
  • 한글파일 일반생물학실험-ptc미맹검사&유전법칙
    =bv.51773540,d.dGI&psig=AFQjCNGa8I1xFdH3nPXo_qQuhudbwOKAGQ&ust=*************638"> ②하디-바인베르크 평형은 특별한 조건이 ... 표현형은 미각자와 미맹자로 분류되지만 유전형은 TT, Tt, tt 대립유전자형이 T-(TT, Tt)인 사람은 미각자로서 PTC의 맛을 느낄 수 있고, tt인 사람은 PTC의 맛을 느낄 ... , Tt는 정상이고, tt는 미맹이 된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2013.09.12
  • 한글파일 C언어를 이용한 분식집 프로그램
    ·do while 문 : 반복문의 한 종류로 while과 for문은 시작부분에서 조건을 검사하지만 do-while문은 종료하기 전 조건을 마지막 부분에서 검사하기에 적어도 한번은 실행된다 ... 즉 먼저 실행하고 조건을 검사하여 거짓이면 do-while문을 종료한다. ·for문의 조합 : for문은 반복을 수행하므로 다른 명령문과 조합하여 사용하기에 좋다. ... printf("=============더주문하시겠습니까); scanf("%d", &mPlus); } while(mPlus == 1); //while문의조건을만족하므로반복실행실시 break
    리포트 | 17페이지 | 1,000원 | 등록일 2014.02.27
  • 파워포인트파일 전자식 선하증권의 종류와 특징
    환어음을 처리할 수 있는 적절한 규정이나 방침 마련 서류 상호간의 데이터 정합성 확보 X ↓ 수신 받은 자료를 이용하기 위해 새로이 입력해야 함 추진 주관계당사자 : SWIFT, TT ... 배서 : SRL에 의해서 수행 개방형 시스템, 중앙 등록기관의 기능에 대한 분명한 지침 제공 무역업자와 금융기관의 참가 미비 거래당 300~500달러의 수수료 부과 CIF 계약의 조건과 ... 개인키는 자동으로 폐기 모든 이해관계당사자들의 합의를 통해 통일규칙으로 제정 당사자에게 유일한 개인키 규정 → 당사자의 책임 문제 분명 운송인 : 권리등록기관으로 설정되어 있음 운송계약조건
    리포트 | 20페이지 | 2,000원 | 등록일 2015.11.26
  • 워드파일 [형설출판사] 일반물리학실험 - 포물체운동 실험
    낙하지점까지 전체 걸린 시간을 tT (y=0 일때 t값), 실제 수평도달거리, R까지 걸린시간을 th (y=y0 일때 t값)라 하면 Δt = tT - th 이 되므로 계산해보면(각자 ... 수평방향의 가속도는 없으므로 양변을 t에 대해 적분하면 (초기조건 t=0 일 때 x=0) x = ( ) t -------(1) 수직방향에 대해서는 하단 방향의 중력가속도(g=9.81m ... /s2)가 작용하므로 = - gt가되고 마찬가지로 양변을 적분하면 (초기조건, t=0 일 때 y=0) y = ()t -gt2 ----(2) 식 (1), (2)에서 t 를 소거하면
    리포트 | 5페이지 | 1,000원 | 등록일 2015.01.05 | 수정일 2017.01.31
  • 파워포인트파일 브레이크 세미나
    브레이크 오일 ..PAGE:20 ABS Non ABS 급정차 제동조건 초과 휠 잠김 휠 센서 감지 브레이크 컨트롤 제동조건 유지 미끄러짐 안정적인 제동 ABS Non ABS ABS ... 그러므로 마모량을 확인하기 쉬워야함 11 자기작동작용 드럼브레이크에 추가적인 제동력을 주지 않고도 리딩 슈의 확장력이 증가하는 작용 12 라이닝은 굽힘응력을 받기 때문에 T자나 TT자를 ... 디스크 브레이크 (1) 캘리퍼의 구조 ..PAGE:10 디스크 브레이크의 작동 원리 RD Q Q 제동토크 FD 제동조건 4.
    리포트 | 23페이지 | 3,500원 | 등록일 2017.01.04 | 수정일 2021.02.10
  • 한글파일 [시장실패] 정부의 시장실패 원인
    이들 제도나 사 업을 수행하기 위해서는 자격조건, 급여, 절차, 과정 등과 관련해 규제가 동반되고, 필요한 규제가 제대로 이루어져야 소기의 목적을 달성할 수 있을 것이다. ... 만약 이러한 외부비용이 개인적 비용 에 추가된다면 포장육을 생산하는데 드는 총사회적 비용(TT)을 산출할 수 있다. ... 위의 그래프에서 제시한 것처럼 TT곡선에는 포장육 한 단위를 생산하는데 발생 한 c만큼의 외부비용이 반영되어 있다.
    리포트 | 16페이지 | 3,000원 | 등록일 2015.07.01
  • 한글파일 Exp 3. PTC미맹, 지문의 유전
    . - 대립유전자는 멘델의 제 1법칙인 우열의 법칙을 따라야 한다. - 개체군 끼리의 선택은 작용되지 않는다. ※ 단, 현실에서는 대부분의 생물들이 유전적으로 변화하기 때문에 위 조건을 ... frequency) : 한 개체군내의 특정 대립유전자가 차지하는 비율을 의미한다. * .멘델 집단 : 자연 선택이 일어나지 않는 통제된 이상적인 집단을 의미한다. ② 하디-바인베르크 법칙의 조건 ... : 44.5%(정상), Tt: 44.4%(잠복형), tt: 11.1% (미맹)) 즉 TT: Tt : tt의 비율과 얼마나 차이가 나는지 비교해본다.
    리포트 | 5페이지 | 4,000원 | 등록일 2012.11.20 | 수정일 2018.10.30
  • 한글파일 열시스템 설계 쉘 튜브형 열교환기
    여러 가지 조건들을 감안하여 일반적으로 튜브 관내 유속은 액체0.5~3.0m/s, 기체5.0~30m/s가 되도록 설계해야한다. ? ... Baffle Window부의 전열면적이 열교환기의 총전열면적에서 차지하는 비율 gamma gamma =2 {N _{tw}} over {N _{tt}} =2 {6.5} over {54
    리포트 | 46페이지 | 4,500원 | 등록일 2019.01.20
  • 한글파일 [성인기 인지발달] 성인기 인지능력의 발달(인지발달의 5단계, 성인기 지능변화, 지혜의 발달)
    첫째, 지혜는 삶의 의미나 인간조건과 연결된 중요하고 까다로운 문제와 관련되어 있다. 둘째, 지혜 속에 반영된 지식과 판단 및 충고의 수준은 탁월하다. ... 제2단계(10대 후반U|서 20대 혹은 30대 초반까지): tt, 1998)은 상대적 사고 외에도 형식적 사고와는 질적으로 다른 후형식적 사고(Post-formal thought)들이
    리포트 | 10페이지 | 3,000원 | 등록일 2017.11.14
  • 한글파일 외국의 소음과 일조권 허용기준에 대한 조사(일본, 스위스, 핀란드, 멕시코, 영국)
    WECPNL) 용도구분 제3종 지역 95 이상 이전보상 완충녹지구역 조성 제2종 지역 90 이상 주택방음공사 이전보상 지역 주변환경기반시설 정비 제1종 지역 75 이상 주택방음공사를 조건으로 ... 영국의 일조권 기준 일영 규제 범위 주요내용 부지경계선에서 수평거리가 5m~10m의 범위의 일영시간 = TA TA = Tt - Td -1 저층주거계 1층의 방에서 2~4시간 이상 중 ... 5~10m의 범위에는 주변의 저층건축물의 일영에 영향을 미친다고 예상되기 때문에 1시간 정도 미리 공제함 부지경계선에서 수평거리가 10m 이상 범위의 일영시간 = TB TB = [Tt
    리포트 | 3페이지 | 1,000원 | 등록일 2014.11.06 | 수정일 2020.07.07
  • 한글파일 전자결제 시스템과 관련하여 국제적인 거래에 따른 각종기구들의 특징 및 서비스, 전자결제의 선진화를 위한 방법
    전자적인 수단을 통하여 무역거래를 활성화하기 위한 기술적이고 법적인 기반으로 메시지를 이전하고 정보를 안전하게 저장하는 전자정보기술이다. 1998년 6월에 SWIFT와 TT Club ... 우선, 거래당사자간에 주고받는 전자메시지의 법적 효력 문제로부터 계약조건과의 일치성 판정을 컴퓨터가 수행하는 것을 인정할 것 인지의 문제 등 많은 법률적 문제가 남아있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.08.02 | 수정일 2018.10.25
  • 한글파일 IEC 분류 접지방식의 특징과 감전방지대책
    그룹마다 또는 개별로 접지된 경우 TT계통으로 간주 - 노출도전성부분이 보호선에 일괄 접지된 경우 다음에 따라 TN 계통의 보호조건 허용 * 중성선이 없는 경우 Z1 ≤ * 중성선이 ... IEC 분류 접지방식(TN, TT, IT)의 특징과 감전방지 대책 1. ... 계통의 보호 ① 자동차단 조건 : 노출도전성부분의 접지저항(R) × 고장전류(I) ≤ 50V 노출도전성부분의 접촉전압이 허용범위(50V) 이하일 것.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.05.28
  • 한글파일 엘리 골드렛 It's not luck (The Goal2: 더골) 독후감 레포트 감상문
    돈은 제품을 소개하려 하면 구매자가 본능적으로 반대하려 한다는 딜레마를 해결하기 위해 구체적인 실행체계도(TT: Transition Tree)를 그려서 일반적인 해법을 찾으려 시도했다 ... 시장 한쪽의 가격이 나머지 한 쪽에 영향을 주지 않아야 한다는 것이 시장 세분화의 필수 조건이다. ... 가장 큰 문제는 영업사원들이 처음부터 새로운 거래의 조건이 얼마나 굉장한 것인지를 공격적으로 떠벌렸다는 점이다.
    리포트 | 5페이지 | 2,000원 | 등록일 2016.03.29 | 수정일 2016.10.10
  • 한글파일 감전보호용 등전위본딩
    전기 부분에 고장 발생 시 자동 차단 조건이 충족되지 않은 경우 보조 등 전위본딩을 하며 보조 등전위본딩을 실시한 경우라도 전원의 차단이 필요하 다. ... 또한 교류 계통의 유효성이 의심되는 경우에는 노출 도전부와 계통 외 도전부 사이의전기저항(R)이 R ≤ {50} over {I _{a}}(Ω)의 조건을 충족하는지를 확인해야 한다. ... ) 50〈V {} _{0}≤120 120〈V {} _{0}≤230 230〈V {} _{0}≤400 V {} _{0}〉400 차단시간(초) 0.3 0.2 0.07 0.04 (표3) TT
    리포트 | 4페이지 | 1,000원 | 등록일 2013.11.19
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업