• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(396)
  • 리포트(359)
  • 시험자료(24)
  • 자기소개서(8)
  • 방송통신대(4)
  • 논문(1)

"TT조건" 검색결과 121-140 / 396건

  • 한글파일 아주대 프기 프로그래밍 과제
    프로그램 개요 이 프로그램은 랜덤함수를 이용하여 속도와 주행거리를 범위에 맞게 임의로 생성한 후 구간별로 주행 거리와 주행 시간, 평균 속도, 연비를 구하고 주어진 조건에 맞게 각 ... (40-fuel ... 200920148_이슬기.cpp 이 프로그램은 랜덤함수를 이용하여 속도와 주행거리를 범위에 맞게 임의로 생성한 후 구간별로 주행 거리와 주행 시간, 평균 속도, 연비를 구하고 주어진 조건
    리포트 | 7페이지 | 1,500원 | 등록일 2010.10.20
  • 한글파일 공용접지방식
    TT 계통에서 접촉전압이 50V 이하, 차단시간 5초 이내에서 과전류차 단기의 사용이 가능하며 이 조건 이상에서만 누전차단기를 사용한다. ... 단독접지에는 TT방식, IT 방식이 있다. 나. ... 비접지방식(TT 방식, 일본식)은 기기 Frame의 전위상승 방지장치가 필요하다. 5) 한국접지의 현황 한전 수용가 미국방식 일본방식 TN-C 방식 TT방식, 1,2,3,특3종으로
    리포트 | 4페이지 | 1,000원 | 등록일 2010.12.25
  • 한글파일 아우디의 그녀, 세상을사로잡다 (이연경의 아우디 마케팅)
    조직의 생리를 알고 사람을 배려하며 일을해내는 능력,무엇보다 성실성 스펙을 뛰어넘는 최고의 조건이다. ... 되어주었다.파티가 어떤 회사에겐 타깃 연령층, 성향과 부합되는 좋은 마케팅 수단이 될수있다는것 을 처음 시작해낸 것이다. -6개월만에 아우디 홍보마케터로 제안이 들어왔다. 2002년 TT1세대 ... 이럴때 눈높이는 강자와 약자를 가르는 중요한 조건이다. 눈높이가 같거나 높을 경우 남자들이 내게 좀 더 부드러워지는 느낌을 여럿받았다.
    리포트 | 3페이지 | 1,000원 | 등록일 2014.04.07
  • 한글파일 기초전자물리학실험1_9장_결과보고서`
    기초전자물리학실험1 실험결과보고서 실험 제목 아르키메데스 원리 (유체역학) 실험자 실험 시각 2008년 5월16일(금요일) 1 : 00 ~ 4 : 00 실험장소 공대 5호관 202호 실험 조건 ... (재정의 하여 나타낸 그래프) 주기 TT는 -1.106이 나온다. 위의 값과 동일하게 나온다. 포텐셜 에너지 PE와 운동에너지 KE는 서로 에너지를 주고받는다. ... 오차가 너무 크게 나와서 좋지 못한 실험을 한 것 같았다. 3) 스프링-질량 진동자에서의 감쇄 진동 감쇄정도 B : 0.3510 주기 TT : -1.166 감쇄계수 b = 2mB :
    리포트 | 7페이지 | 1,000원 | 등록일 2012.05.04
  • 한글파일 임용고시 2차 실험평가(생물) 출제 실험주제 지역별 정리(13~18년도)
    → 이러한 집단이 유지되기 위한 조건을 3가지 적으시오 - 100명 중 미맹인 사람이 4명이다. → 미맹 유전자 빈도를 구하시오 → Tt유전자형을 가진 사람의 수를 구하시오.
    시험자료 | 6페이지 | 4,000원 | 등록일 2018.10.01
  • 워드파일 계약상 payment terms 사례 및 해설
    결제조건은 대금을 지급하는 방법을 규정하는 것이고 가격조건은 물건의 가격에 무엇이 포함되느냐를 정하는 조건이다. 결제조건은 신용장방식과 비신용장방식으로 나뉜다. ... 무역거래에는 결제조건(payment terms)과 가격조건(price terms)이라는 것이 있다. ... 매수자가 강한 상황이라면 연지급 시용장이나 환어음에 의한 지급이 선택되고 매도인이 강한 지위라면 즉시 지급을 요구하거나 선금 지급(선 TT)이 요구되는 경향이 있다.
    리포트 | 4페이지 | 1,500원 | 등록일 2011.06.26
  • 한글파일 신생아 황달 케이스
    후 짧은 시간동안에 쉽게 많이 파괴되고, 갓 태어난 신생아의 간의 기능이 미숙해서 빌리루빈 신진대사를 원활하게 처리할 수 없고, 갓 태어난 신생아에게 생길 수 있는 탈수 등 여러 조건이 ... 수유 전 많이 보챔 4/8(9:50AM) 호흡 고르게 함 움직임 활발함 4/8(11AM) CRP : 13.64㎎/㎗로 나옴 10%D/W 500㏄ + Nacl 6㏄ ⇒ IV 10㎍tt
    리포트 | 29페이지 | 3,500원 | 등록일 2016.05.30 | 수정일 2019.02.20
  • 워드파일 2023 구매자재관리총론 요약+구매관리실무 요약(100문제 포함)
    유닛로드시스템★ 화물을 일정한 로드 단위화하여 취급을 인력에 의해서가 아니라 하역기계나 기구를 이용해 한꺼번에고 수입지에 있는 추심은행을 통하여 수출대금을 회수하는 무역방식 ④단순송금방식(TT ... 구매가격 예측방법(생산재&소비재)★ ①가격은 원가와 합리적인 관계에서 결정된다. ②가격은 동업타사와의 관계에서 결정된다. ③가격은 수요와 공급의 관계에서 결정된다. ④가격은 지불조건에 ... 수출업자도 신용장을 개설하겠다는 보증서를 부가해야 유효한 신용장 ⑨보증신용장 : 금융 또는 채권 등에 대한 상환보증 목적의 개설 ⑩선대신용장 : 신용장에 표시된 금액을 선불하는 조건으로
    시험자료 | 68페이지 | 4,500원 | 등록일 2016.11.01 | 수정일 2023.01.06
  • 한글파일 포사체의 운동
    낙하지점까지 전체 걸린 시간을 tT (y=0 일때 t값), 실제 수평도달거리, R 까지 걸린시간을 th (y=y0 일때 t값)라 하면 Δt = tT - th 이 되므로 계산해보면 - ... 수평방향의 가속도는 없으므로 양변을 t 에대해 적분하면 (초기조건 t=0 일때 x=0) x = (v0 cosθ) t ? ... 가되고 마찬가지로 양변을 적분하면 (초기조건, t=0 일때 y=0) y = (v0 sinθ)t -1/2 gt2 ----(2) 식 (1), (2)에서 t 를 소거하면 ---------
    리포트 | 12페이지 | 1,000원 | 등록일 2013.05.18 | 수정일 2015.09.11
  • 한글파일 DIC 환자 간호
    에서 더 흔함. ③ PT, aPTT, TT 연장 ④ euglobulin colt lysis time 단축(fibrinolytic activity 증가를 의미) ⑤ FDP plasmin에 ... 알 수 없는 기전으로 DIC를 일으키는 조건 shock, cirrhosis, purpura fulminans, acute fulminant hepatitis, acute bacterial ... stroke, extensive burns, transplant rejection, surgery(체외순환이 사용된 경우) 조직으로부터 thromboplastine 의 유리를 초래 하는 조건
    리포트 | 7페이지 | 2,000원 | 등록일 2014.01.13
  • 한글파일 [자기소개서] STX조선 연구직 합격 자기소개서 및 필수 지원동기
    첫째, 연구개발에 투입되는 자원, 인력, 자금의 최적 조건을 산출하겠습니다. ... 저는 “TT"자형 인재가 되기 위해 전공 이외에 경영학을 부전공하였습니다. ... (요약 60byte 이내, 상세기술 1000byte 이내) [Engineer + Manager] “T"자형 인재는 한 분야에 대하여 넓고 깊이 알지만, “TT"자형 인재는 한 가지
    자기소개서 | 4페이지 | 3,000원 | 등록일 2012.03.18
  • 한글파일 인문과 의학,의철학의 개념과 이해,심신론,의철학 레포트
    act=read&db=library&idx=26">http://sophia21.com/tt/site/ttboard.cgi? ... 즉 내 마음을 이해할 수 있는 인식론적 조건이 바로 타인의 마음의 존재성이다. 우리가 언어를 사용하는 경험의 주체라면, 우리 인간은 단지 물질적인 것으로 환원되지 않는다. ... 언어를 사용하면서 개념적 능력을 갖는 이 인간 개별자가 존재론적 기초이며, 이 인간이 언어를 적절하게 사용할 수 있는 방법을 배움에 있어서 그 선제적 필요조건으로서 타인의 마음을 이해한다
    리포트 | 4페이지 | 1,000원 | 등록일 2012.09.20
  • 파워포인트파일 독점시장과 과점시장
    이론은 경쟁정책의 당위성 분석과 다른 산업의 독점화를 정당화시키는 분석도구 독점시장의 가격행태 사회후생의 손실 사회적 비용 효율과 비효율 차선의 이론 P83 그림 5-7 생산가능곡선(TT ... 따라서, 차선의 이론에서는 어떤 파레토 효율이 달성될 수 없을 경 우에 여타 한계조건도 동시에 위반하여 후생의 증가를 시도 16 5. ... 독점시장의 가격행태 사회후생의 손실 사회적 비용 효율과 비효율 차선의 이론 이윤극대화 조건 경쟁시장 기업 : P = MR = MC - 이윤극대화 생산량은 한계수입곡선과 한계비용곡선이
    리포트 | 29페이지 | 3,500원 | 등록일 2015.02.10
  • 한글파일 [VHDL]실험12. 16진 counter
    J-K 플립플롭 J-K 플립플롭은 R-S 플립플롭에서의 금지 조건을 해결한 플립플롭으로 R-S 단자에 대응하는 J-K 단자 입력이 J=K=1 경우에서 출력은 혀재 값이 ‘0’이면 ‘ ... behavioral of tt is signal counter : std_logic_vector(3 downto 0); begin process(CLK) begin if(RST=' ... is port(RST, CLK: in std_logic; COUNT_OUT: out std_logic_vector(3 downto 0)); end entity tt; architecture
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.08
  • 한글파일 IEC규격에 의한 주거용 건물의 전기설비 설계지침
    분전반의 구성요소 일반적으로 하나의 주거용 건물에 단지 하나의 분전반에는 항상 전력량계 와 전기공급자 설비가 TT접지계통을 요구하거나 최대허용전류를 제한하는 요금조건인 경우 과전류에 ... 만일 TT접지계통의 설비이면 접지저항은 R = = 166 (Ω) 이하이어야 한다. ... TT와 IT 접지계통의 설비에서 누전차단기는 기본이다. TN 접지계통의 설비에서 고속과전류차단기 또는 누전차단기는 전기회로의 간접접촉에 대한 보호를 할 수 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2011.07.04
  • 한글파일 무역대금결제에 있어 무신용장 방식을 설명하시오
    볼레로는 SWIFT와 TT Club의 합작투자로 운영되고 있으며, 볼레로 서비스 이용자 사이의 권리, 의무 관계를 규정하고 있는 규칙을 Rule Book(규약집)이라 한다. ... ) - 추심거래시 발행되는 환어음이 일람출급어음인 조건이다. ... D/P, D/A의 표시가 없으면 D/P로 간주한다. 2) D/A(documents against acceptance, 인수인도조건) - 추심거래시 발행되는 환어음이 기한부어음인 조건이다
    리포트 | 4페이지 | 2,000원 | 등록일 2013.01.06
  • 한글파일 [내부구조][합성명사][위치마디][합성동사][위치동화][명사][동사][형용사][한글말]내부구조와 합성명사, 내부구조와 위치마디, 내부구조와 합성동사, 내부구조와 위치동화 분석
    tt'weda), φ(n? ... 이상태(1995)는 국어 문장의 연결 방식 중 조건문·인과문 등의 연결문에 초점을 맞춰 연결 어미의 특성을 형태적·통사적으로 설명함으로써 국어 문장이 가진 기본 구조의 일면을 기술하고 ... tt'weda) (36)-(38)에서 t는 뒤에 오는 자음의 조음위치에 동화한다. (36)와 (37)에서 보듯이 말음에 오는 구개치경음 c와 ch는 말음중화현상을 거쳐 t가 되기 때문에
    리포트 | 12페이지 | 5,000원 | 등록일 2013.03.29
  • 한글파일 전자식선하증권
    ·스웨덴·영국·미국의 무역업자와 운송업자, 은행, 통신회사 등이 컨소시엄 형태로 선하증권 등의 선적서류의 전자화를 실현시키기 위하여 예비실험을 하였고, 물류상호보험조합인 티티클럽(TT ... 의하여 인정되고는 있으나 개설은행 또는 수입상이 그러한 선하증권이 원본서류가 아니라는 트집을 잡는 경우도 있으므로 전자식 선하증권을 사용할 때에는 전자식 선하증권을 허용한다는 특별조건
    리포트 | 7페이지 | 1,000원 | 등록일 2015.03.23
  • 한글파일 통신이론 실습과제
    값을 가지므로 이 조건에 만족할때만 컨볼루션 시킴 y(n)=y(n)+x1(m)*x1(n-m); % 0일때 터 컨볼루션 값이 나오도록 수정 end if n+1-mn % m이 n보다 ... m이 n보다 커질때 값은 존재하지않으므로 break 시킴 break end ※ 아래 빨간부분으로 수정하여 아래의 그래프를 얻어냄 if (n-m) > 0 & (n-m) < L % 이 조건일때만 ... =[-5:ts:5]; plot(tt,y/10) for n=1:L*2-1 이렇게 한 이유는 1~2까지인 경우 fs가 10이므로 1.0, 1.1, 1.2 .....1.9, 2.0 이렇게
    리포트 | 17페이지 | 3,000원 | 등록일 2010.06.26
  • 파워포인트파일 [생물학]【A+】당신은 당신의 유전자만큼 지적인가
    , Tt or tt Phenotype(표현형) - the observable expression of an allele combination Ex. two possible phenotypes ... 그리고 개체 상속지수의 이용과 오용 유전자가 어떻게 작용하는가 4 ..PAGE:3 4 ..PAGE:4 4.1 형질유전 형질 : 피부색, 키, 몸무게, 눈의 색, IQ, 얼굴모양, 신체조건 ... Genotype(유전형) - the allele combination in an individual Ex. three possible genotypes for pea plant height: TT
    리포트 | 47페이지 | 1,000원 | 등록일 2011.06.20
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업