• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(396)
  • 리포트(359)
  • 시험자료(24)
  • 자기소개서(8)
  • 방송통신대(4)
  • 논문(1)

"TT조건" 검색결과 21-40 / 396건

  • 워드파일 2020년도 숙명여자대학교 경영학부 특성화고졸 재직자특별전형 합격 자기소개서
    INCLUDEPICTURE "/var/folders/g_/tt9kdrp94_58qk9blm4d77yh0000gn/T/com.microsoft.Word/WebArchiveCopyPasteTempFiles ... 보통 증권사에서 취급하는 PF 대출은 규모가 수천억대이기 때문에 금리나 수수료 등 금융조건과 투자방식이 서로 다른 증권사, 캐피탈사, 보험사 등의 여러 금융기관이 컨소시엄을 이뤄 투자 ... 저는 모든 금융기관의 조건을 파악하여 최초 인출금액이나 대출이자 지급 스케줄, 사업 관련 부가세 납부 및 환급 스케줄에 따라 기표일부터 상환일까지 현금의 과부족이 없이 진행될 수 있도록
    자기소개서 | 3페이지 | 5,000원 | 등록일 2020.04.18 | 수정일 2023.06.01
  • 한글파일 공통접지(한국전기설비규정 KEC)
    또는 고저항 접지방식의 경우는 접지저항(R) 값이 2.2(Ω) 이하이면 본 접지계통의 적용 조건을 만족한다고 할 수 있다. ... 만약 접지저항(R) 값이 0.56(Ω) 이상이 되는 경우 인체 감전 보호 측면에서 보조보호등전위본딩을 실시해야 하거나 다른 접지방식(TT 계통)을 고려할 필요가 있다. ③ 비접지방식 ... 접지방식에서 접지저항(R) 값은 1.12(Ω) 이하의 값이 요구된다. ③ 비접지방식 또는 고저항 접지방식의 경우는 접지저항(R) 값은 4.4(Ω) 이하의 값이 요구된다. (2) TT
    리포트 | 8페이지 | 2,500원 | 등록일 2021.06.15
  • 워드파일 Catalytic Hydrogenation
    보통 온도와 압력에 조건에서 일어나기 위해서는 촉매를 필요로 하며, 기체 수소를 수소 공급원으로 사용한다. ... 촉매의 조건은, 수소의 해리 흡착이 가능해야하고, 수소 원자의 이동이 용이해야하며, 흡착의 세기가 적당해야한다. ... osaDOigcP0oSMg-djHLEssQ29xKiyBfcpxew4RrgMHZhniuqLHVwnJ_c_KRKAqqNNe6EvBSW0Nn9Mm7XKA3gyZuEx-RyXgDjslskEZOpPU41h_TT8pYwbWBgVdw82g2
    리포트 | 9페이지 | 1,500원 | 등록일 2021.04.02 | 수정일 2021.05.24
  • 한글파일 공동주택 한국전기설비규정(KEC) 접지시스템
    방식에 따른 요구 및 충족 조건을 충분히 고려하여야 한다. ... 개요 한국전기설비규정(KEC)에서는 목적에 따라 계통접지(TN, TT, IT)·보호접지·피뢰시스템접지 로 구분하고, 또한 단독접지·공통접지·통합접지를 시설종류로 구분하고 있어 계통접지의
    리포트 | 7페이지 | 2,500원 | 등록일 2022.11.30
  • 한글파일 경영통계학_확률변수와 확률분포의 개념을 설명하고 예시를 들어 설명하시오.
    예를 들어서 확률변수의 경우에는 동전 2개를 던지기를 실험할 때 표본공간은 HH, HT, TH, TT로 하고, 확률변수 Y는 동전 앞면의 개수라고 가정해보자. ... 조건부확률분포는E₁, E₂가 표본공간 내에서 두 사건이라면 E₂가 일어나거나 일어날 조건 하에서 E₁이 일어날 수 있는 확률을 의미한다. ... 확률분포는 결합확률분포, 주변확률분포, 조건부확률분포로 구분하여 설명할 수 있다.
    리포트 | 5페이지 | 3,000원 | 등록일 2023.02.04
  • 한글파일 스마트자동차 과제3
    ▶추가적으로 연료의 보충 양도 서로 다르고 싣고 있는 짐의 무게도 다르며, 타이어의 특성도 조금씩 다르기에 공인연비에서의 조건과 실제연비에서의 조건은 상당한 차이가 발생합니다. ① ... *v; Fg=m*9.80*grade; Fi=m*(v-v); Ft=Fr+Fa+Fg+Fi; Tt=r*Ft; wt=v/r; %%엔진에드는힘 tr=Tt/gearRatio; we=wt*gearRatio
    리포트 | 6페이지 | 10,000원 | 등록일 2019.06.24 | 수정일 2019.12.03
  • 한글파일 전기화학 주사속도 결과레포트
    참고문헌 http://qrins.com/tt/site/ttboard.cgi?act=read&db=echemnews&page=1&idx=158 ... (Scan rate: 20mV/s) 11) Scan rate가 50mV/s 일 때 같은 조건으로 CV곡선을 측정한다. 12) Scan rate가 100mV/s 일 때 같은 조건으로 ... CV곡선을 측정한다. 13) Scan rate가 150mV/s 일 때 같은 조건으로 CV곡선을 측정한다. 14) Scan rate가 200mV/s 일 때 같은 조건으로 CV곡선을 측정한다
    리포트 | 4페이지 | 1,500원 | 등록일 2022.03.11
  • 엑셀파일 [합격] 콘티넨탈 코리아 자소서
    굴곡진 ‘아우디 TT’ 차종의 외관을 설계하는 것은 프레임 상호 간의 구속 조건과 공차 등 까다로운 작업이 존재하였습니다.
    자기소개서 | 1페이지 | 3,000원 | 등록일 2020.12.30 | 수정일 2023.11.25
  • 한글파일 포사체의 운동 공학물리 실험예비보고서
    낙하지점까지 전체 걸린 시간을 tT (y=0 일때 t값), 실제 수평도달거리, R 까지 걸린시간을 th (y=y0 일때 t값)라 하면 Δt = tT - th 이 되므로 계산해보면 다음과 ... 수평방향의 가속도는 없으므로 양변을 t에 대해 적분하면 (초기조건 : t=0일 때 x=0) x = (v0 cosθ) t -------(1) 수직방향에 대해서는 하단 방향의 중력가속도 ... (g=9.81m/s2)가 작용하므로 vy = v0 sinθ - gt 가되고 마찬가지로 양변을 적분하면 (초기조건, t=0 일때 y=0) y = (v0 sinθ)t -1/2 gt2 -
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.10
  • 한글파일 2024년 1학기 방송통신대 중간과제물 신뢰성공학)여러 가지 업무 중 신뢰성인증 또는 신뢰성평가를 하는 연구원을 검색하여, 해당 부서에서는 어떤 일을 하고 있는지 조사 수명분포 불신뢰도 신뢰도 고장률 병렬계 리던던트 대기 리던던트 시스템 등
    }# # F(t)`=`1`-`R(t)``[따라서`F(t)=P(T LEQ t)임]```````````````(2.2)# # h(t)`=` lim _{DELTA t -> 0} {{P(tt ... (사용, 환경조건)하에서 고장 없이 일정기간(시간, 거리, 사이클 등) 동안 최초의 품질 및 성능을 유지하는 특성을 말한다. ... 그리고 가속시험법 개발과 관련해서는 가속시험 설계를 위한 고장 스트레스 규명, 가속수명시험 조건 설계 및 데이터 분석, 가속수명시험 장비 지원 및 시험 수행, ?
    방송통신대 | 10페이지 | 20,000원 | 등록일 2024.03.10 | 수정일 2024.03.31
  • 한글파일 순환전류전압법의 원리와 응용 결과 보고서
    23, No. 6, p.636~640 - “Technique 4: 순환전압전류법(Cyclic Voltammetry)”, Grins, 전기화학 일반, http://qrins.com/tt ... 이 전극은 반응이 일어나는 전극이니만큼 전자 전달이 빨라야 하고, 전극의 실험 조건을 유지할 수 있도록 표면 처리가 잘되어야 한다. ... 하지만, 두 조건 모두 만족하지 않는다는 것을 그래프를 통해 알 수 있다. 오차의 원인으로는 반응에 참여한 전자의 몰 수 차이와 활동도 그리고 온도를 들 수 있다.
    리포트 | 4페이지 | 3,000원 | 등록일 2022.05.23
  • 워드파일 서울시립대 전자전기컴퓨터설계실험3 예비레포트 9주차
    3.48 +Rd=84.61m Rds=222.2K Cbd=1.41n Pb=.8 Mj=.5 Fc=.5 Cgso=634.4p +Cgdo=122.4p Rg=9.165 Is=207.5f N=2 Tt ... 추가로 VDS>VGS-VTH를 통해 saturation조건도 만족함을 확인할 수 있었다. 참고문헌 9번째 실험 교안 Microelectronic Circuits 7th Ed. ... VDS가 VGS-VTH=1.796-1.73V=0.066V 값보다 크므로 Saturation조건도 만족함을 확인할 수 있다. [2-2] PSPICE 등의 Simulation을 수행한
    리포트 | 8페이지 | 2,500원 | 등록일 2022.03.10
  • 파워포인트파일 체온조절과 수분섭취
    Ex) 팔(Ta), 몸통(Tt), 다리(Tl), 머리(Th) Tskin = (0.1×Ta)+(0.6×Tt)+(0.2×Tl)+0.1×Th) Ex) Tskin = (0.1×32.0)+( ... 열상실의 칼로리 추정 ※ 휴식 시 1분당 약 1.5kcal 열량 생산 할 때, VO2max의 70% 지구성 운동 vs 휴식 : 10배 이상 or 15kcal/분 열 균형과 환경적 조건
    리포트 | 41페이지 | 1,500원 | 등록일 2020.09.23
  • 워드파일 지역사회복지론 과제 (사회복지사2급) 지역사회복지 실천관련 가치 중 가장 중요하다고 생각하는 것은 무엇이며, 왜 그런지에 대하여 사례를 들어 기술하시오.
    조건적 수용 대신, 조건부, 선택적 수용을 선호하는 우리사회의 경향을 보이는 점에 주목해야 한다. 3. ... 조건 없이 수용하는 정책에 대한 입장 대신, 일정한 조건을 만족하는 북한이탈주민만을 수용해야 한다는 입장이 다수이다. ... topMainMenuCode=&topSubMenuCode=&totalSize=301&totalSizeByMenu=301&seqNo=&hanjaYn=Y&knowPub=&isdb=&isdbsvc=&tt1
    리포트 | 7페이지 | 2,000원 | 등록일 2022.10.23
  • 워드파일 아세트아미노펜 디자인 실험 계획서
    실험 계획(11주차~15주차) 안정성 시험 - 가혹 시험 조건에서 안정성 시험을 진행한다. ... 각 원료들을 100TT 분량으로 칭량한다. 2. 주성분과 Disintegrant와 Lubricant를 제외한 부형제들을 혼합한다. 3. 소량씩 물을 첨가하며 혼합한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2019.08.21
  • 한글파일 요소가격 균등화
    이제 주어진 교역조건TT‘의 기울기와 같다고 할 때 A국은 무역개시와 함께 X재 생산에 특화하게 된다. 이는 적정생산점이 QA에서 PA로 이동하는 것으로 표시된다. ... 즉,(교역조건이 불변일 때) 수출산업이 집약적으로 사용하는 요소의 공급증대에 따른 경제발전은 수출산업의 생산증대와 수입대 ... 에서는 관세 부과 후의 대내교역조건에 의해 이룩되는 생산의 새로운 적정점과 요소배분의 새로운 적정점이 각기 점 Q1 및 점 P1으로 표시되고 있다.
    리포트 | 13페이지 | 3,500원 | 등록일 2022.12.09
  • 한글파일 [경영학] 경제성장과 후생
    자본량이 증가하면 생산가능곡선은 TT에서 T'T'로 확대되고, 재화의 상대가격은 p0로 일정하므로 생산점은 A점에서 B점으로 바뀐다. ... 궁핍화 성장이 나타나기 위해서는 다음의 조건이 충족되어야 한다. 첫째, 성장의 결과 교역조건이 악화되어야 한다. ... 둘째, 수출재 부문의 성장으로 교역조건이 악화되는 경우에도 경제성장으로 인한 소득증가분을 상쇄할 정도로 교역조건의 악화 정도가 커야 한다.
    리포트 | 7페이지 | 2,500원 | 등록일 2022.01.17
  • 한글파일 열전달 응용실험 결과보고서(아주대 기계공학 응용실험)
    최종적으로 구에서 자연대류에서는 Pr GEQ 0.7, Ra _{D} LEQ 10 ^{11} 조건에서 LEFT . LEFT . ... 3}, 비열 C=477`J/kg``K, 열전도도 k=46.6W/m``K를 만족하고 대, 중, 소 구슬은 각각 40mm, 30mm, 20mm의 직경을 가진다. - 열전대(5SRTC-TT-T ... ], V:부피 [m ^{3} ]=4/3 pi r ^{3} = pi D ^{3} /6) 방정식 q=hA(T-T _{amb} )=-dU/dt=- rho VC`dT/dt를 세우고 초기 조건
    리포트 | 8페이지 | 2,500원 | 등록일 2024.03.08
  • 워드파일 심리학 실험설계의 이해 (임상심리학 심리통계)
    피험자의 점수라도 처치 변인의 효과, 구획변인의 효과, 상호작용 효과, 오차효과로 설명되지만 상호작용 효과는 산술적으로 계산이 불가능하여 상호작용효과 0으로 가정 Yitb = + Tt ... 실험자 [조작한 실험 처치 조건] 얻어진 자료 (어떻게 통계적으로 분석할 것인가?) 2. ... 무선표집: 모집단 내의 모든 피험자들은 표집될 확률이 같은 조건 하에서 표집되기 때문에 표본이 전집의 특성을 잘 반영하게 됨.
    리포트 | 37페이지 | 2,500원 | 등록일 2024.03.20
  • 워드파일 LG화학 전문기술직 직무 인터뷰
    평소 조건과 다르시 즉시 현장 조치를 실시하며 기본적인 밸브 조작에서부터 온도 습도와 같은 환경 조성을 실시합니다. ... 매일 이 회 실시되며 현장에 설치되어 있는PT, TT, FT 등의 전자계기를 활용하여 정상 범주 내에 운전됨을 확인합니다.
    자기소개서 | 16페이지 | 3,000원 | 등록일 2022.03.23
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업