• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,940)
  • 리포트(2,725)
  • 자기소개서(123)
  • 시험자료(39)
  • 논문(24)
  • 방송통신대(19)
  • 서식(8)
  • 이력서(1)
  • ppt테마(1)

"LED신호등" 검색결과 181-200 / 2,940건

  • 파워포인트파일 부산대학교 전기전자기초실험 term project
    올바른 선을 해체할 경우 타이머가 꺼지게 할 것이고, 잘못된 선을 해체할 경우 폭탄이 한다. > 정상적으로 회로가 작동하지 않는 등의 문제가 발생해 스위치식으로 수정 부저+LED 설치 ... - 래치 회로에 동기신호(CLK)를 추가한 형태를 이야기하기도 함 ..PAGE:6 계획서 타이머 방식 채택 : 99초의 시간을 두고 폭탄이 작동한다고 가정하였으나, 발표시간을 고려해 ... LED를 달아 폭탄 해체에 성공하는 경우 파란불이 나타나게 하고, 실패하거나 타이머가 00초가 될 때, 빨간불이 나타나게 한다. > 폭탄 작동의 시각적 효과를 나타내기에 LED만으로도
    리포트 | 9페이지 | 3,000원 | 등록일 2020.11.23 | 수정일 2020.11.26
  • 워드파일 기초회로실험1 Lab 14 D Flip-Flop Report
    우리가 깨끗한 신호를 송신해도 그 신호가 깨끗하게 전달되기는 힘들다. 대개 noise 등과 섞여 제대로 전달되지 않는다. ... 이론 및 도구 logic lab unit : 빵판과 여러 기능으로 구성된 유닛 * anode: LED가 0일 때 켜지는 모드/ cathode: LED가 1일 때 켜지는 모드 * 빵판 ... Clock에서 rising edge가 나타날 때 D의 신호를 전달한다. falling edge일 때는 기존 신호를 유지한다. 이 D Flip-Flop은 신호 복원에 효율적이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.08.01
  • 한글파일 인천대학교 전자기학실험 다이오드 특성과 정류회로 과제
    목 적실험1의 목적: 다이오드와 LED의 V-I 특성 곡선을 시뮬레이션을 통해 알아본다.실험2의 목적: 다이오드를 이용한 반파 정류 회로를 통하여 교류 신호가 어떻게 변형되는지 관찰합니다 ... 실험3의 목적: 다이오드를 이용한 반파 정류 회로에 캐패시터가 병렬 연결되었을 때, 교류 신호가 어떻게 변형되는지 관찰합니다.2. ... 실험원리■ PN 접합:① 실제 다이오드에 사용되고 있는 것은 P형, N형이라 하는 특별히 세공한 불순물 반도체입니다.② 순수한 반도체는 99.99%의 실리콘(Si)이나 게르마늄(Ge) 등이
    리포트 | 12페이지 | 3,000원 | 등록일 2021.07.22
  • 한글파일 [공적조서][공적 조서] 아파트 관리소장에 대한 표창 상신용 공적조서 예제입니다. 아파트 관리소장 공적조서 작성은 예제가 없기 때문에 작성이 매우 어렵습니다. 따라서 본 작품을 참고시면 수월하게 공적조서를 작성하실 수 있습니다. 글쓰기가 죽기보다 싫으신 분들은 본 작품을 내려받아서 일부 내용만 바꿔서 바로 사용하시면 됩니다.
    특히 아파트 단지 앞에 신호등이 없어 사고가 자주 일어나자 경찰서와 시청에 신호등 설치를 건의하여 교통사고를 예방하는 등 사회적 소통과 시민의 안전에도 노력하는 분입니다. ○ 공동체 ... 교체하였을 뿐만 아니라 한국전력공사 백열등 교체 보조금 지급사업에도 선정되어 공용계단 및 세대 앞 현관의 백열등을 최신형 LED 등으로 교체하여 공공 전기를 획기적으로 절감하였습니다 ... 또한 투명한 회계 관리로 아파트 관리비를 절약했다는 평가를 받고 있습니다. ○ 2022년에는 본 아파트가 공동주택보조금 지원사업 단지로 선정되어 지하 주차장 형광등을 LED 전등으로
    리포트 | 3페이지 | 3,000원 | 등록일 2022.04.20
  • 한글파일 전자회로계측 Op-Amp
    배경지식 연산 증폭기 고증폭도를 가지고, 아날로그 신호의 가산, 감산, 적분 등의 연산이 가능한 증폭기. 104~106배의 높은 전압이득을 갖는 자동입력, 단일 출력형의 직류 증폭기이다 ... 연산 증폭기는 외부에서의 저항, 콘덴서, 다이오드 등의 부품으로 부귀환을 거므로써 가산, 감산, 적분, 미분 등 여러 가지 아날로그 연산을 고속, 고정밀도로 할 수 있다. ... 적분기가 제대로 작동한다면, 사각파 신호를 흘려주었을 때 출력 신호는 삼각파의 형태로 출력될 것이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.06.01
  • 한글파일 디지털회로실험 예비보고서1
    그리고 이를 제거한 회로를 debouncer라고 한다. 3)로직프로브에 대해 조사하라 신호를 검출할 때 쓰이는 디지털회로 실험도구이며, TTL 소자들(AND 게이트나 OR 게이트 등 ... (TTL의 경우 HIGH출력은 2.0V이상이고, LOW 경우0.8V이하) 4)로직 프로브 LED를 로직프로브로 사용할 경우, 작은 신호 검출시 문제가있다. ... 프로브를 갖다 댄 지점의 전압등을 알 수 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.09.25
  • 한글파일 광운대학교 전기공학실험 M2. 아날로그 및 디지털 기초 회로 응용 예비레포트 [참고용]
    C언어 유사성: 변수선언 및 호출 함수 선언 및 호출 등 C언어 형식으로 사용된다. 4. ... 내보내거나 신호가 들어오는 지 확인하는 함수이다. 3-3-4. analogWrite(), analogRead(): 지정된 핀 중에 A0~A5핀의 아날로그 신호의 입출력을 확인하고 ... 실험기기 랩톱 PC, 아두이노 우노 보드, 브레드보드, 전선, 저항, 스위치, LED, 디지털테스터, AND, XOR, OR게이트 5. 예비보고서 문제 풀이 1.
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.02
  • 한글파일 중앙대학교 실험 예비 보고서 (타이머인터럽트 LED 점등 설계실습)
    하드웨어 인터럽트를 이용한 LED 점등 예제 .nolist .list .cseg .org 0x0000 ; 0x000은 reset 인터럽트 벡터로서 power-on 시 ; cpu 실행을 ... ;Prescaler는 Timer에 입력되는 clock신호의 주파수를 정하는 값으로 prescaler=256일 때 ;Timer 에 입력되는 clock 신호의 주파수는 16MHz/256 ... 현재 clock 신호의 주파수는 62500Hz 이므로 ;타이머 인터럽트 발생주기는 62500/(62499+1) = 1Hz LDI R16, 0b00010000 ; STS ETIFR,
    리포트 | 5페이지 | 1,000원 | 등록일 2020.01.13
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    그렇기 때문에 단수를 크게 하는 자리올림수 신호(캐리어 신호)의 부분을 따로 계산하여 단수를 줄이는 방법이 자주 행해진다. 이 자리올림수 신호를 다른 논리회로로 서 ... : 딥 타입의 고휘도 LED로 구성되어 있다. ... 또한 TRANSISTOR L품은 사이즈나 발열, 전력소모 등으로 FPGA로 설계하기 부적합하다. 2. Materials and Methods 가.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 워드파일 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    그 이후 A, B의 입력신호를 다르게 하며 LED의 점등을 관찰하였다. ... 출력값의 확인은 브레드보드의 LED를 이용하였다. 진리표를 이용하여 (1,0)을 출력하는 경우 를 (1,1)을 출력하는 경우 전압값을 (0,0)을 출력하는 경우는 접지를 주었다. ... 디멀티플렉서는 1개의 입력신호를 n개의 선택 신호를 이용하여 2n개의 출력신호로 내보내는 회로이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2022.03.03
  • 파일확장자 실습 12. stopwatch 설계_예비보고서_중앙대_아날로그및디지털설계실습
    또한 이론에 언급한 대로 decoder 의 출력 방식과 7-segment LED 의 type 간의 매칭에 유의한다. ... 를 이용하여 사용하고자 하는 1Hz 의 Clock 신호를 만들어 낸다. ... 실습목적Stopwatch 설계를 통하여 카운터, 분주회로, 클럭 회로, 디코더 등 다양한 디지털 회로 구성요소에 대한 이해를 높이고 Datasheet 를 읽고 분석하는 능력과 원하는
    리포트 | 6페이지 | 1,000원 | 등록일 2021.08.13
  • 워드파일 서강대학교 디지털논리회로실험 레포트 3주차
    그림에서처럼 enable 신호가 존재할 경우, 이 신호들의 상태가 만족되어야 정해진 기능으로 동작한다. ... 그림 SEQ 그림 \* ARABIC 10 입력 출력 PSW0 PSW1 LED0 LED1 LED2 LED3 0 0 1 1 1 0 0 1 1 1 0 1 1 0 1 0 1 1 1 1 0 ... 토의 및 결론 이번 실험에서는 decoder및 encoder, 7-segment 등의 동작원리에 대해 배울 수 있었다.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    멜로디 등의 소리나 경고음 등을 표현할 수 있으며 음성 주파수 대의 펄스 신호를 입력하여, 해당 주파수의 소리를 출력하게 한다. ... 총8개의 LED로 구성되어 있으며, 각각의 LED에 불이 들어왔을 때의 상태에 따라 다양한 문자 표시가 가능하다. 7-Segment 핀 Common Cathode 7-Segment ... FND에 숫자를 출력하는 코드 code (3) 실습3 0000 ~ 1001, 즉 0 ~ 9 까지 값을 가지는 4-bit bcd 입력을 받아서 single FND 를 제어하는 8-bit 신호
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 워드파일 term project - ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계
    시계기능에서는 카운터 등을 이용하여 7segment에 시/분/초 등을 표시하고 스위치를 이용하여 시간/분을 바꿀 수 있게 하였으며 디지털 스탑워치에서는 카운터와 and gate를 이용하고 ... 통해 경과 시간이 지났다는 것을 확인하기 위해 led를 통해 연결하고 확인하였다. 3. ... not gate와 저항과 커패시터를 이용하여 만들어 낸 clock신호를 4020으로 보내어 주파운트 한다음 reset을 시켜주었고 7492는 7490에서 reset되는 신호를 가져와
    리포트 | 14페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 워드파일 [부산대 이학전자 A+] 아두이노
    예를 들어 단순한 로봇, 온습도계, 동작 감지기, 음악 및 사운드 장치, 스마트 홈 구현, 유아 장난감 및 로봇 교육 프로그램 등의 다양한 제품들이 아두이노를 기반으로 개발 가능하다 ... 광센서 모듈 (LDR, Light Dependent Resistor) 빛 자체 또는 빛에 포함되는 정보를 전기신호로 변환하여 검지하는 소자이다. ... 방해물을 설치하고, 측정 가능 최소 거리부터 늘려가며 센서와 방해물간의 거리를 자를 이용하여 측정하고, 시리얼 모니터에 나오는 거리 값을 기록한다. 4) 초음파 센서의 Echo 신호
    리포트 | 19페이지 | 2,000원 | 등록일 2021.03.03 | 수정일 2021.03.13
  • 워드파일 서강대학교 디지털논리회로실험 레포트 5주차
    그림에서 각 소자들은 비교하는 두 수와 함께 직렬 연결을 위한 신호들의 입력과 출력을 포함한다. ... 검토사항 1) digital system에서 수체계(이진수, 십진수, 16진수 등), 수의 표현(양수, 음수, 2’s complement 등) 연산에 대해 복습해보자 -우선 십진수는 ... 이진수는 두 개의 숫자만을 이용한 수 체계로 0과 1을 기호로 사용하며 디지털 신호에 기본적으로 사용된다.
    리포트 | 25페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 워드파일 전기및디지털회로실험 실험M1-1 예비보고서
    시리얼 모니터 아두이노 스케치 문법 및 함수등 자료 레퍼런스 기타 옵션 4. 실험기기 랩톱 PC, 아두이노 우노 보드, 브레드보드, 전선, 저항, LED, 디지털 테스터 5. ... 마이크로컨트롤러의 데이터 핀으로 출력되는 신호는 제어 신호라는 점을 기억해야 한다. 따라서 많은 전류를 사용하는 주변장치를 연결할 때는 별도의 전용 전원을 사용해야 한다. ... 한 번 프로그래밍하면 코드를 나중에 바꿀 일이 거의 없기 때문에 냉장고, 전자레인지 등의 기기에 사용된다.
    리포트 | 10페이지 | 1,000원 | 등록일 2023.06.30
  • 워드파일 디지털 논리회로와 광센서
    빛을 비춰 주었을 때 LED가 켜지는 가변 저항값을 찾아보자. 이 때 A점에서 신호는 어떻게 행동하는지 오실로스코프로 관찰한다. 디지털 조도계 그림 2와 같은 회로를 구성한다. ... 테브냉 등가 회로를 이용하여 가변저항 값의 이론값을 구하였다. 이 때 CdS의 저항값은 실험 1에서 빛을 비추었을 때의 저항값을 사용하였다. ... 빛이 조사되면 CdS의 저항값이 낮아져 741에 들어가는 전압이 상승했고, 이는 디지털 신호의 증가로 이어지게 되었다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.03.03
  • 한글파일 (세계의역사) 4차 산업혁명과 관련된 10건 이상의 언론 기사들을 참조하여 4차 산업혁명에 대한 자신의 입장을 기술
    나는, 밤에 보행자가 신호를 위반하고 신호등이 빨간 불일 때, 저돌적으로 달려서 건너는 모습을 여러 번 보았는데, 이렇게 횡단보도나 표지판에 LED를 장착해서 실시간으로 보행자의 움직임을 ... 스마트 횡단보도는 야간이라서, 혹은 비가 와서 보행자를 인식하기 어려울 때, 황단보도나 표지판에 자체적으로 LED를 장착해서 보행자들이 이동하는 상황에 대해 파악해서 LED로 보여줄 ... LG, 삼성 등의 대기업에서는 전자제품을 본격적으로 출시하게 된다.
    방송통신대 | 5페이지 | 3,000원 | 등록일 2020.01.23 | 수정일 2020.01.24
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(결과) / 2021년도(대면) / A+
    (예를 들어서, always의 sensitivity list에 모든 신호가 포함되고, begin~end 안에 특별히 memory 로직이 없다면 합성결과는 combinational 로직이 ... ), A=B는 EQ(LED2), A ... 하드웨어 요소들 사이의 물리적 연결을 나타냄 - 연속 할당문(continuous assignment), 게이트 프리미티브 등과 같은 구동자(driver)의 값에 의해 net의 값이
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업