• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,076)
  • 리포트(1,700)
  • 자기소개서(121)
  • 시험자료(107)
  • 논문(76)
  • 방송통신대(47)
  • 서식(24)
  • 이력서(1)

"교통 신호등 설계" 검색결과 1-20 / 2,076건

  • 한글파일 교통신호등 제어기 VHDL 설계
    교통신호등 제어기 설계 Ⅰ. 수행 및 제출(1) Ⅱ. 수행 및 제출(2) Ⅲ. 수행 및 제출(3) Ⅳ. ... 수행 및 제출(4) 교통신호등 제어기에는 대기 모드(standby mode), 평시 모드(정상 동작), 테스트 모드로 총 3가지의 동작 모드가 존재한다. ... 그리고 이 신호들을 segment를 이용하여 신호등 두 개로 6부분으로 나누어서 각 상태에 따라 각 segment에 출력을 넣어 결과를 확인 할 수 있도록 설계를 하고 컴파일과 시뮬레이션까지
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • 파일확장자 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 파일확장자 SVA를 이용한 교통 신호등 로직 설계
    구현된 교통 신호등 논리 회로를 SVA를 이용하여 상태 천이를 check하는데 있다.
    리포트 | 3,000원 | 등록일 2014.11.26 | 수정일 2014.12.29
  • 한글파일 교통신호(교통신호등)의 종류, 기능, 교통신호(교통신호등)와 LED교통신호, 교통신호(교통신호등)와 교통편익 비용분석, 가로망설계모형, 교통신호(교통신호등)와 신호최적화모형 분석
    교통신호(교통신호등)의 종류, 기능과 설치기준, 교통신호(교통신호등)와 LED교통신호(LED교통신호등), 교통편익 비용분석, 교통신호(교통신호등)와 가로망설계모형, 신호최적화모형, ... 교통신호(교통신호등)와 가로망설계모형 Ⅶ. 교통신호(교통신호등)와 신호최적화모형 1. SOAP(Signal Operations Analysis Package) 2. ... 교통신호(교통신호등)와 LED교통신호(LED교통신호등) 1. 신호등두 2. 광학장치 3. 구조 4. 전기 Ⅴ. 교통신호(교통신호등)와 교통편익 비용분석 Ⅵ.
    리포트 | 12페이지 | 5,000원 | 등록일 2013.04.01
  • 파일확장자 verilog HDL을 사용한 신호등 for 교통체증 설계~!
    - Button C, D, E, F 각각 버튼의 입력이 1 일 때 주파수가 변경되며 신호등신호를 변화시킴 Keypad - 임의의 값을 설정하여 Password 역할을 함 ... - Button C, D, E, F 각각 버튼의 입력이 1 일 때 주파수가 변경되며 LED의 출력 값 변화 Bus SW - 임의의 값을 설정하여 Password 역할을 함 신호등
    리포트 | 3,000원 | 등록일 2015.01.01
  • 파일확장자 교통신호등 설계를 위한 SVA와 PSL의 이용 예제
    리포트 | 4,000원 | 등록일 2014.11.26 | 수정일 2014.12.29
  • 한글파일 VHDL을 이용한 교통신호등 설계
    VHDL을 이용한 교통신호등(Traffic) 설계 프로젝트 목표: VHDL을 이용하여 교통신호등설계한다. ... 개발도구: FPGA(ALTERA)BOARD, QuartursⅡ //신호등 구동을 위한 포트 library ieee; use ieee.std_logic_1164 ... out std_logic_vector(3 downto 0); ); end traffic; //클럭 분주를 위한 변수의 선언 architecture arc of traffic is //신호등
    리포트 | 6페이지 | 2,000원 | 등록일 2010.12.06
  • 파일확장자 VHDL을 이용한 교통 신호등 설계
    VDHL을 이용하여 교통 신호등설계한 프로그램 입니다. (4거리) 빨간불, 초록불, 노란불이 자동으로 바뀌며 신호등에 불이 들어와 있는 동안 7segment에는 신호가 바뀌기까지
    리포트 | 14페이지 | 10,000원 | 등록일 2007.01.12
  • 한글파일 논리회로실험_신호등(사거리) 레포트
    실험 내용 남북(NS)과 동서(EW)의 교차로가 있는 교통신호제어기를 디자인한다. 1) NS 도로가 교통 흐름이 많은 주도로이므로 EW 도로에 차량이 없을 때는 NS 도로에 녹색신호등은 ... 그리고 EW 도로에 차량이 있으면 신호가 계속 바뀌어야 한다. 3) NS 녹색 신호등은 7초 동안 진행되며, 2초간 황색 신호를 준 후 적색으로 바뀐다. ... EW 녹색 신호등은 4초 동안 지속된 후 2초간 황색 신호를 준 후 적색으로 바뀐다. ※ 기타사항 : 차량이 통행하는 것은 스위치를 이용하라.
    리포트 | 17페이지 | 3,000원 | 등록일 2022.05.31
  • 워드파일 교통체증 보고서
    잘못된 도시 설계, 규모가 너무 큰 도시, 지형적 한계 등이 있었다. 미국의 로스앤젤레스, 필리핀의 마닐라, 나이지리아의 라고스 등이 교통 체증이 가장 심각한 도시들이다. ... 시간 조절이 가능한 신호등 체계 - 차가 밀집된 곳은 초록 신호가 켜지는 시간 길게함 - 차가 거의 없는 곳은 초록 신호가 켜지는 시간 짧게함 - 시간 조절을 통해 차가 몰리는 것을 ... 등) '유발된 수요'가 일어난 경우 세계 여러 도시들의 교통체증 미국-로스앤젤레스 로스앤젤레스는 전 세계 도시 교통체증 1위를 6년째 이어가고 있음.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.03.30
  • 워드파일 삼성전자 합격 자기소개서
    셋째, 전기회사 직장체험인턴을 통해 전기전자분야에 관한 실무를 경험하였습니다. 2014년 여름 신호등 시공 및 제품 개발 회사인 OOOO(주)에서 LED 교통신호등 제품생산작업을 통해 ... 전기회로와 신호처리 이해를 바탕으로 자체전원공급기를 포함한 오실레이터, 디지털회로와 TEXT LCD를 구현한 디지털시계, 전자회로 설계를 바탕으로 전자피아노 등을 완성하였습니다. ... LED모듈, 교통신호제어기 개발부터 생산까지 직접 실무자들 옆에서 보조하며 배울 수 있었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.07.13
  • 파일확장자 PSC 교통신호등주 프리캐스트 기초의 신뢰성기반 최적화 설계 연구
    As a preparation of a design standard regarding road facilities in terms of reliability based optimum design examples, such as cantilever columns for..
    논문 | 11페이지 | 4,200원 | 등록일 2023.04.05
  • 한글파일 도로공학 기말정리
    건너편의 교통신호등이 정지선에서 40m보다 더 멀리 있는 경우에는 교차로 건너기 전 위치에 추가로 교통신호등을 설치함 - 교통신호등은 주행선 중앙녹지 등에 위치하는 것이 바람직함 ... - 보행자 신호등 높이는 보도의 노면으로부터 신호등 하단까지 2~3m로 함 - 교통신호등은 양면으로 설치하여 시인성을 높임 8. ... 최소 2개의 신호등면이 교차로 건너편에 설치되어 접근 차량이 계속 볼 수 있어야 함 3) 차량이 정지선에 도달하기 이전에 교통신호등을 시인할 수 있어야 함 4) 세부 설치장소 -
    리포트 | 6페이지 | 2,000원 | 등록일 2023.06.21
  • 한글파일 (합격자) 교통공학 경찰 특채 직무기술서
    대한 이해를 바탕으로 교통소통 및 안전 관련 교통전략 수립, 설계 등의 업무수행 - 교통? ... 신호제어시스템, CCTV 통합관제센터사업에 대한 기획 및 설계업무 수행 -교통안전 및 원활한 교통흐름을 위한 정보수집 및 가공, 제공체계 설계 -교통시스템의 확산에 따라 관련업무를 ... 수립 및 UTIS, 신호체계, BIS 설계 전략마케팅부, 사원 *2011.03.07~2016.06.10.
    자기소개서 | 2페이지 | 6,000원 | 등록일 2020.10.25 | 수정일 2022.06.26
  • 파일확장자 교통기사 - 교통공학
    시험자료 | 19페이지 | 2,500원 | 등록일 2020.10.22 | 수정일 2020.11.16
  • 한글파일 도로교통공단 자기소개서 (무기계약직)
    차량대수, 주기, 속도 그리고 신호연동 등 고려하면서, 가장 중요한 안전까지 고려한 설계를 만들도록 하겠습니다. ... 이번 기회를 통해 교통신호분야에서 장기간동안 안전개선 등 더 나은 교통을 만들기 위해 노력하겠습니다. ... 설문조사 알바, 주야간 차량조사 알바, 도로교통공단에서 신호개선과 관련된 분석 등 여러 경험을 해봤지만 짧은 기간이여서 아쉬움을 가지고 있었습니다.
    자기소개서 | 2페이지 | 5,000원 | 등록일 2022.06.05 | 수정일 2024.03.27
  • 한글파일 LH 공채 자기소개서
    이것을 이용하여 도시 계획을 할 때 이루어지는 교통계획, 교통설계 등에 있어서 연동, 보행자 심리, 통행속도 등 실무에서 적용하였던 신호 대중교통 운영에 있어 더 세세한 부분을 고려할 ... 교통신호부에서 신호만 배우는 것이 아닌 신호운영을 위한 보행자, 운전자의 특성을 고려한 신호설계를 배우고 있습니다. ... 녹사평역 사거리의 사고 위험, 효창공원 삼거리의 주기 개선 요청 등의 민원에 대해서도 각각 연속적인 두 개의 신호에 대한 신호시간 조정, 주기 및 현시 시간 조정 등을 통한 개선 방안을
    자기소개서 | 3페이지 | 5,000원 | 등록일 2022.06.05
  • 한글파일 2023학년도 한양대학교 융합전자공학부 편입 최초합 자기소개서
    V2X 기술을 통해 차량 간의 간격 및 속도 제어, 교통 현황 등 실시간 정보와 더불어 교통체증 및 사고를 미연에 방지할 수 있다는 것을 알게 되었습니다. ... 연구원이 되어 위성 통신, uav 통신, 양자통신 등 여러 형태의 통신 시스템을 복합적으로 운영하는 통신 시스템을 설계하고자 합니다. ... 이와 함께 신호 및 시스템 강좌를 인터넷 강의를 통해 습득하였습니다.
    자기소개서 | 4페이지 | 5,000원 | 등록일 2023.02.08 | 수정일 2024.02.21
  • 워드파일 사람중심도로 설계지침 내용과 시사점(도로설계 과목 대학원 석사 레포트)
    교통정온화시설의 설치 고려해야 할 사항 - 자동차 및 보행자 교통량 등 도로와 교통 특성 - 설계기준자동차 - 노상주차장 설치 여부 ☞도시지역도로 설계지침 제27조(교통정온화시설의 ... 바닥형 보행신호등, 횡단보도 대기 쉼터 등 보행편의시설 고령자를 고려한 평면교차로 -최소 기준 폭보다 0.25m 넓게 가능. ... 경보형 경보등 2. 일시정지 표지 3. 진입 오류 예방 시설 4. 무단횡단 금지시설 5. 보행 쉼터 등 6. 바닥형 보행신호등 7. 횡단보도 점등형 표지병 8.
    리포트 | 17페이지 | 5,500원 | 등록일 2024.03.19
  • 한글파일 도로공학 중간정리
    기본설계 - 교통용량과 설계 교통량 추정, 교차형상의 검토, 비교 대안 작성, 최적 대안 선정 3) 상세설계 - 도류와에 의한 기하구조 설계 시거확보, 신호현시 등의 교통운영 및 교통관제를 ... 회전교차로의 전반적인 기술(그림) 회전교차로의 개요 일반 신호교차로에 비하여 교통안전 증진, 신호대기 시간 없음, 좌회전 차로 별도 설치 필요 없음, 회전교차로와 로터리 로터리는 회전 ... 도류화 설계에 대한 기본원칙(그림이랑 같이 설명) 도류화 : 보행자를 안전하게 이동시킬 목적으로 명확한 통행경로 지시(교통섬, 노면표시 등을 이용) 기본원칙 - 운전자가 한번에 한가지
    리포트 | 5페이지 | 2,000원 | 등록일 2023.06.21
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업