• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(128)
  • 리포트(123)
  • 자기소개서(4)
  • 논문(1)

"vhdl 7segment" 검색결과 1-20 / 128건

  • 한글파일 VHDL, ABEL - 7 segment
    ☞ 시뮬레이션 파형을 보면, 설계한 진리표와 결과가 일치하는 것으로 보아, 16진수를 표시하는 7segment decoder로써 동작함을 알 수 있다. ... wait; end process; end architecture; ☞ 시뮬레이션 파형을 보면, 위에서 작성한 진리표와 결과가 일치하는 것으로 보아, 16진수를 나타내는 7segment ... 구현 (1) ABEL MODULE seven_segment TITLE '74x49' "Input pins D0,D1,D2,D3,EI pin 1,2,3,4,5; "Output
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.12
  • 한글파일 VHDL을 이용한 설계-7 segment
    클럭입력은 ‘0’과 ‘1’을 가지는 std_logic으로 정의되고, segment는 7개의 신호로 결정되기 때문에 6bit를 가지는 std_logic_vector로 정의된다. segment ... 테스트 벤치 또한 일반적인 VHDL 코드와 같은 구성을 가진다. ... k = 7 then segment
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.12
  • 파일확장자 VHDL을 이용한 7segment 구구단 프로그램(BOOTH 알고리즘 구현, 시연동영상 포함)
    리포트 | 3,000원 | 등록일 2015.04.12 | 수정일 2015.06.17
  • 한글파일 [vhdl] 7segment, testbench파일 포함
    7segment 설계 ? ... VHDL파일 library ieee; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL ... :='0'; signal rstb: std_logic:='0'; signal seg: std_logic_vector(6 downto 0); signal digit: std_logic_vector
    리포트 | 4페이지 | 1,000원 | 등록일 2008.06.14
  • 한글파일 [VHDL 설계] 2-TO-1 MUX 와 7-segment 설계
    Problem) 2-TO-1 MUX와 7-segment를 각각 text Design(VHDL)으로 설계하여 검증(simulate)을 하고 각 symbol을 이용하여 아래 그림과 같이 ... ; ② symbol ▶ 2-TO-1 MUX와 7-segment의 symbol을 이용한 결합 ① symbol 배치 및 라인 연결 ② simulation * segment 작동의 진리표 ... ▶ 7-segment ①text Design entity seg is -- 입출력 포트의 정의 port( y : in bit_vector(3 downto 0); -- 입력값 y 포트
    리포트 | 3페이지 | 1,000원 | 등록일 2009.02.08
  • 한글파일 xilinx와 vhdl을 이용한 7-segment 디지털시계구현(소스포함)
    XILINX를 이용한 7-SEGMENT시계의 개념도 나. VHDL을 통한 소스 분석 3. ... 그림 2 / ※ SYS-Lap 5000의 7-segment 소자 2) 구현하는 SYS-Lap 5000에서는 6개의 7-segment 모듈을 하나로 구성한 소자를 사용한다. ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.
    리포트 | 14페이지 | 2,000원 | 등록일 2007.12.28
  • 한글파일 [전자공학 ] VHDL으로 7 segment 디코더 설계
    BCD-7세그먼트 디코더(BCD to 7segment decoder)는 BCD에서 십진숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성하는 조합회로이다. { 디코더의 ... _1164.all; entity bitadderentity is port(ain,bin: in std_logic_vector(1 downto 0); bitout : out std_logic_vector ... 0", c, f, d, p는 "1"을 출력하고, common 단자에는 "1"을 출력하여야 한다. ▲ CODE --adder2bit.vhd library ieee; use ieee.std_logic
    리포트 | 8페이지 | 1,500원 | 등록일 2004.03.11 | 수정일 2021.12.21
  • 한글파일 논리회로실험) 7 segment 프로젝트 1 예비
    segment - 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. - 막대모양의 LED(Light Emitted Diode) 7개를 8자 ... 여기서 LED가 7개 사용되어 7 segment로 불린다. - 애노드 공통형(common-anode type)과 캐소드 공통형(common-cathode type)의 2가지가 있다. ... segment Decoder -BCD-to-7세그먼트 디코더는 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a, b, CDOTS g 신호를 만들어내는
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 파일확장자 FPGA 16스위치에서 7세그먼트출력 & 4비트가산기에서 7비트세그먼트출력
    5bit가 나오는 4bits 가산기(스위치입력)의 5개 7-segments 출력이 나 온 파형이다. ... 핀플래너에 입력값에 대한 핀번호는 교수님께서 올려주셔서 그대로 대입했지만 출력값에 대한 핀번호는 가르쳐주시지 않으셔서 임의로 seven segment digit에서 y0에 ... 파형에 대한 토의A와 B와 c_in을 전가산기를 이용하여 합산하여 나온 출력값을 dis_seg 을 이용하여 출력 c_out이 최상위비트이고 출력 s인 4bit를 더해서 총
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    이때, 퀴즈 3번 문제에서는 common cathode 방식의 7-segment를 가정하였으나 실험 키트의 7-segment는 common anode 방식이므로 퀴즈 문제의 진리표를 ... [그림 11]에 입력에 따른 7-segment 출력을 나타내었다. ... [그림10]과 같이 FND_DATA 신호들 사이에 330Ω의 저항을 연결해야 7-segment가 안정 적으로 동작한다는 것과 74LS47의 출력 핀이 시계 방향 순서대로 7-segment
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    [그림 19] [그림 20] 3) 과정 3 : 7-segment decoder의 동작 확인 VHDL 코드 및 시뮬레이션 결과는 다음과 같다. ... [그림 9] 4) BCD-to-7-segment decoder BCD코드를 [그림 10]의 7-segment 출력에 적합한 코드로 변환하는 논리 회로이다. ... 사용 부품 1) 74LS47 7-segment decoder의 기능을 하는 소자이다. 입력의 BCD코드를 7-segment 입력에 바로 인가할 수 있는 출력으로 변환한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 워드파일 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    그림 5와 같은 회로를 구성하고 입력에 따른 7-segment의 출력 상태를 기록한다. ... 실험 재료 저항 7404, 7408, 7420, 7432, 7447, 7483, 7485, 7486, 74139, 74151 7-segment 실험 방법 비교기 비교기의 기본적인 ... 이번 실험에서는 대표적인 디코더 중 하나인 BCD to 7-segement decoder에 대해 다루도록 한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 워드파일 [논리회로설계실험]VHDL을 활용한 CLOCK설계
    process로, sel 값에 따라 7segment의 위치를 2진수로 나타내어 준다. ... 아래 그림은 7segment의 모식도이며, 각 LED가 a~g, dp로 할당된 것을 알 수 있다. ... Clock의 구현방법은 간단히 소개하자면, 총 5개의 process를 이용하여, 7segment의 값들을 각각 지정하고, 클럭의 시간을 연산하여, 현실의 1초가 지날 때 마다 segment
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 한글파일 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    예를 들어 4개의 7 segment의 경우 Common Pin은 각각의 7 segment 별로 나누어져 있지만, A~h 핀은 4개의 7 segment들이 병렬로 모두 연결되어 있다. ... 설계 목표 BCD, BCD 덧셈, 7 segment에 대해 조사해보고, BCD to 7segment adder를 어떻게 구성할 수 있을까 고민해본다. ... 논리회로설계실험 프로젝트 #1 BCD to 7 segment 가산기 1.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • 워드파일 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    VHDL을 이용한 구현 (optional) STEP 19: 그림 34 – 7-segment display의 진리표 위의 code를 ISE의 text file에 입력한다. ... 일반적인 decoder -7-segment display- 7-segment display는 A~G의 7개 LED로 간단한 숫자 또는 문자를 표현할 수 있는 display 소자이다. ... . - 7-segment decoder의 동작원리를 이해한다. - 표시장치(display devices)의 동작원리를 이해한다.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 한글파일 논리회로설계실험 스탑와치(stopwatch) 레포트
    또한, 7개의 조명조각에 모두 통전(通電)하면 숫자 8이 표현된다. 0~9의 수에 따른 7 segment에서 빛이 켜지는 위치는 아래의 표에 나타내었다. 7-segment에는 2종류가 ... 표시방법 7 segment 장치는 7개의 조명조각으로 숫자나 문자를 나타낼 수 있다. ... 스위치에 해당하는 변수, digit_con은 시간이 뜰 6개의 7 segment의 위치에 해당하는 변수, sseg는 7seg에 해당하는 변수이다.(5행-9행) 선언부의 signal이
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 파일확장자 A+학점인증 디지털시스템설계 프로젝트 Traffic Lights Controller 신호등 제어기 보고서(코드, 설명 포함)
    display signals--For 7 segment transform BCD forms: 7-segment를 표현하기 위한 신호와 BCD와 값을 일치시키기 위하여 signal을 ... ■-teamproject.vhd--교수님께서 첨부해주신 Top Module의 entity와내부의 프로그램을 구현함에 있어서 필요한 signal을 정의하였습니다.--7 segment ... segment 와 BCD code를 서로 맞게 입력 하였습니다
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.07
  • 한글파일 디시설 - 7-세그먼트 디코더 설계
    실습 내용 실습 결과 VHDL 코드 - VHDL 코드 주요 동작부분 해석 ① 18행의 rising_edge(clk)는 클럭의 상승 에지가 발생하는 것을 검사해 에지에 동기시키고 값을 ... when에 의해 keyVal에 저장된 값에 따라 SEG에 출력할 값을 정한다. ④ enable과 keyVal는 입력이면서 동시에 출력의 역할도 해야 하기 때문에 논리벡터선언을 inout std_logic_vector로 ... 참고문헌 양영일, 『VHDL을 이용한 디지털 논리회로 설계』, 미래컴(2010) 노승환, 『디지털 시스템 설계 및 실습』, 한빛아카데미(2017)
    리포트 | 4페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 논리회로설계실험 BCD가산기 레포트
    7 segment는 10진수 숫자를 7비트 코드로 나타낼 수 있다. ... 그 결과 두 자리 수 덧셈을 하는 “BCD Adder”, BCD를 7 segment로 나타내는 “BCD to 7 segment Decorder”는 기본적으로 필요할 것이라고 생각되었다 ... ) seg_1, seg_2, seg_3은 7비트로 각 자리를 7-segment로 나타낸 것이다.(8~10행) 14~18행은 BCD_ADDER의 컴포넌트를 선언한 것이다. 19~22행은
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 한글파일 논리회로설계실험 - 디코더/엔코더 예비레포트
    각각의 십진수가 4비트 이진수로 인코딩되어있다. 7 segment는 10진수 숫자를 7비트 코드로 나타낼 수 있다. ... 가장 간단한 논리식을 찾는다면 BCD를 7 segment로 바꿔주는 디코더 논리회로를 설계할 수 있다. ... 따라서 BCD의 각 비트를 입력해주면 디코더를 이용하여 7 segment의 7개의 조명 조각이 각각 출력되게 할 수 있다. 즉, 4비트 10진수를 7비트 코드로 전환하는 것이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업