• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(691)
  • 리포트(588)
  • 시험자료(49)
  • 자기소개서(45)
  • 서식(6)
  • 방송통신대(2)
  • 논문(1)

"엘리베이터 회로" 검색결과 1-20 / 691건

  • 워드파일 서강대학교 21년도 디지털회로설계 - 엘리베이터 설계 프로젝트 보고서(A+자료)
    2021-1학기 디지털 회로 설계 기말프로젝트 엘리베이터 설계 과목명 디지털회로설계 전공 전자공학 학번 이름 날짜 2021.06.22 (우선 input과 output을 좀 간단하게 ... 우선 현실의 엘리베이터처럼, 1층에서 4층으로 올라가는 도중에 up_3이나 elev_3이 눌린다면 3층에서 멈춘 후, 4층으로 올라가야 할 것이다. ... 설계 과정, State Diagram 및 설명 현재 층수를 state에 포함시킨다면 state가 너무 많아질 것 같아서, 일단 엘리베이터의 동작 상태 (m_elev_op에 해당하는)
    리포트 | 10페이지 | 2,000원 | 등록일 2021.06.30 | 수정일 2022.09.23
  • 파일확장자 디지털논리회로 엘레베이터 제어기 설계
    있는 층을 표시하는 7 segment가 있다. • 엘리베이터가 없는 층에서 엘리베이터를 부르면 엘리베이터는 한 층씩 이동하여 엘리베이터를 부른 층으로 이동한다. • 엘리베이터가 이동하는 ... 프로젝트 개요:• 각 층에는 엘리베이터가 그곳에 있는 지를 나타내는 LED가 있고, 그 층에 엘리베이터가없을 때 엘리베이터를 부를 수 있는 한 개 의 버튼이 있다. • 또한 엘리베이터가 ... 동안 엘리베이터가 지나가는 층 수는 7 segment에 표시되어야 한다.• 엘리베이터는 초당 한층 씩 움직일 수 있다.
    리포트 | 5페이지 | 10,000원 | 등록일 2018.03.24 | 수정일 2018.03.28
  • 한글파일 응용논리회로 엘레베이터 VHDL 레포트
    응용논리회로 -Term project- -Elevator controller- Block diagram VHDL 코드 library IEEE; use IEEE.std_logic_1164 ... IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; use ieee.numeric_std.all; entity ele is port ( --------elevator
    리포트 | 29페이지 | 2,500원 | 등록일 2013.06.15
  • 파일확장자 엘리베이터 회로
    엘리베이터 만드는 회로도 ORCAD 자료입니다.자료는 진짜 최고입니다.정말 자부합니다.이 회로대로 만들면 진짜 최고의 엘리베이터가 만들어집니다.5천원이 아깝지 않을 자료입니다.회로도만
    리포트 | 1페이지 | 5,000원 | 등록일 2008.12.14
  • 파워포인트파일 논리회로2 `엘리베이터/엘레베이터` 설계&제작 발표자료
    주 제 조합논리회로 와 순차논리회로 를 응용하여 설계 해본 카운터 를 활용하기 위해 프로젝트 주제로 엘리베이터 를 선정 . ... 완성 , PPT 제작 완성 , 발표 준비 [5 주차 ] [ 엘리베이터 ] - 4 - 2. ... 회 로 4 전체회로 [ 전체회로 ] - 19 - 6. 동작화면 [ 초기세팅 ] 1 동작화면 ( 초기세팅 ) - 20 - 6.
    리포트 | 25페이지 | 1,000원 | 등록일 2010.06.12
  • 파일확장자 [전자회로]VHDL을 이용한 엘레베이터 설계
    Elevator 설계 개요. ... ' f_lat(x) = '0' f_dis(x) = '0' x층에 도달 후 State 회로(1) f_led(4 downto 0): 층을 LED로 출력 down_en: elevator ... 설계에 사용된 회로 Latch 회로 State 회로 Latch 회로(1) f(4 downto 0) : floor select button f_lat(4 downto 0) : latch
    리포트 | 8페이지 | 3,000원 | 등록일 2003.11.13
  • 한글파일 제10장 래치와 플립플롭 결과보고서
    엘리베이터의 스위치 회로 [목적] IT대학 1호관에 설치된 엘리베이터의 스위치회로에 대한 동작을 이해한다. 1) 다음과 같은 회로를 구성하라. - 회로에서 사용된 NAND게이트는 74LS00을 ... 회로를 구성하라. - 이 회로는 이후에 계속 사용되므로 빵판의 한쪽 구석에 구성하여 실험 후에 회로를 그냥 두도록 한다. 2) 스위치를 뗄 때의 디바운싱을 확인하기 위한 사전준비 ... 연결하라. - 회로를 구성하면서 연결이 완료된 결선은 회로에 표시하면서 하라. 5) 입력과 출력을 연결하라. - 입력단자는 긴 선으로 준비한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.02.10
  • 파일확장자 verilog + fpga 엘리베이터 구현 코드 (층수, 문 열림닫힘, 화살표 등)
    "verilog + fpga 엘리베이터 구현 코드 (층수, 문 열림닫힘, 화살표 등)"에 대한 내용입니다.
    리포트 | 9페이지 | 2,500원 | 등록일 2023.10.12 | 수정일 2023.11.28
  • 한글파일 SK하이닉스 회로설계직 합격자소서
    디지털 회로 실험 프로젝트로 논리게이트나 verilog를 이용하는 프로젝트에서 논리게이트를 이용해 엘리베이터 미니어처 제작을 시도했습니다. ... 제 엘리베이터를 보시고, 담당 교수님도 황당해하시기는 했지만, 좋은 도전이고, 실패 안에서 새로운 것을 얻었다는 것이 중요하다고 말씀해주셨을 정도의 도전이었고, 전자과라면 한 번쯤 ... 그 후 아날로그 회로뿐만 아니라 디지털회로 설계 수업에서도 설계하였습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.11.19
  • 한글파일 7주차 예비보고서- 디지털 시스템 설계 및 실험
    카운터를 이용한 동기식 sequential circuit 설계 엘리베이터 7-Segment에 현재 층수를 표시한다. ... 엘리베이터 - 7-Segment에 현재 층수를 표시한다. - 현재 층수보다 높은 층수로 올라가도록 입력을 넣으면 목표 층수까지 UP 카운트를 동작시킨다. - 현재 층수보다 낮은 층수로 ... 위의 논리 회로의 결과는 다음과 같다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 파워포인트파일 4과목 회로이론 및 제어공학
    변위 , 위치 , 각도 , 자세 - 자동조정 – 전압 , 전류 , 주파수 - 목표값 – 정치제어 – 프로세스 제어 , 자동조정 - 추치제어 – 비율 , 추종 - 프로그램 제어 – 엘리베이터 ... 회로 이론 기초 ③ 직 류 전기 회로 ( 선형 ) - 직렬 회로 – 전류 일정 – 전압강하 법칙 ( KVL ) - 병렬 회로 – 전압 일정 – 전류분배 법칙 ( KCL ) ④ 파형 ... - 영점 : Z = 0 ( 단락 회로 상태 ) - 극점 : Z = ∞ ( 개방 “ ) # 정저항 회로 조건 # 역 회로 조건 ( R-L-C 직병렬 ) ( 병렬 ) ( 직렬 ) ⑦
    시험자료 | 4페이지 | 15,000원 | 등록일 2022.12.21
  • 한글파일 카이스트(한국과학기술원) KAIST 산업디자인학과 자기소개서 및 연구계획서
    정확한 캡션 단위 생성 연구, 원격 관계를 위한 가상 그룹 셀카 스테이션 연구, 대화형 데이터 만화를 사용하여 전산 노트북의 커뮤니케이션 개선 연구, 브레드보드 회로에서 와이어의 ... 저는 또한 Elevate: 대형 형태 변화 지형을 위한 보행 가능한 핀 어레이 연구, KDD '21: 컴퓨팅 기계를 위한 지식 발견 및 데이터 마이닝(ACM) 연구, 그림 캡션을 위한
    자기소개서 | 2페이지 | 3,800원 | 등록일 2023.04.10
  • 한글파일 논리회로설계실험 - 디코더/엔코더 예비레포트
    엔코더는 음성 신호 처리, 아날로그 신호의 디지털화에 적용되며, 엘리베이터의 스위치를 누르면 LED가 표시되는 것과 직렬 데이터를 통신라인의 특성에 맞추는 데에도 엔코더가 사용된다. ... 논리회로설계 실험 예비보고서 #4 실험 4. 디코더& 엔코더 설계 1. ... 예비 이론 (1) 디코더 디코더는 또 다른 공통적으로 사용되는 유형의 집적 회로이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 한글파일 한화시스템 전자직 합격자소서
    구현함에 있어 엘리베이터 호출 기능 남용이라는 난관에 봉착했습니다. ... 호출 기능을 개선하여 휴대폰으로도 엘리베이터 호출이 가능하게 만든 경험이 있습니다. ... 딥러닝과 회로를 융합하여 전기적 특성을 예측하는 것을 주제로 정했고 기존 회로 해석방법들의 개선을 목표로 했습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2024.02.03
  • 한글파일 한국미쓰비시엘리베이터 자기소개서, 한국미쓰비시엘리베이터 면접질문
    예를 들어 안전회로 동작의 오류가 원인이라면 안전라인의 전기회로부분을 도통시험 및 정격퓨즈 확인을 통해 도면에 맞게 작동이 되는지 파악한 후 시도하여 조치를 취했습니다. ... 한국미쓰비시엘리베이터와 함께 성장할 젊은 인재가 되겠습니다. 2. ... 지원동기 및 장래희망 한국미쓰비시엘리베이터는 한국의 경제성장과 발맞추어 한국시장에서의 사업규모를 확대해나가고 있습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2021.01.31
  • 워드파일 건축기사 필기정리 건축설비 전기,조명,피뢰,운송방식
    50A를 초과시 별도의 전용회로를 두도록 한다. - 습기가 있는 아웃렛은 별도 회로로 하도록 한다. - 전압 강하는 2% 이하로 한다. - 각 분기회로의 부하 균형을 좋게 하도록 ... 모든 기기들은 안전하게 사용하기 위해 고장시 피해 정도를 줄이고 신속히 보수할 수 있도록 분기회로를 설치한다. - 대규모 건물에서 전등과 콘센트는 별도의 회로로 구성한다. - 분기회로용 ... 엘리베이터 관련 용어 (1) 주요 설비기기 (2) 권상기(Traction Machine) 구성 3.
    시험자료 | 21페이지 | 6,000원 | 등록일 2021.07.23
  • 한글파일 승강기기사 실기필답 기출및해설 포함집.
    cm] ※ 각종 안전율 품명 구분 안전율(이상) 로프식 엘리베이터 주로프(승용) 12 주로프(화물용) 6 조속기 로프 8 유압식 엘리베이터 실린더 4 에스컬레이터 모든 구동부분 5 ... 다음 회로에서 C1=30[μF], C2=20[μF], C3=10[μF]일 때, a-b간 합성정전용량을 구하시오.(4점) - ★★★(‘15.1회, ‘14.1회, ‘09.4회) 답 - ... 다음의 유량제어 밸브에 의한 속도제어방식에 대한 설명을 읽고 해당 회로명을 T m```또는```kg BULLET cm] - Rmax : 지지점 a,b 중 가장 큰 반력(만약 Ra >
    시험자료 | 64페이지 | 3,000원 | 등록일 2022.10.06 | 수정일 2022.10.26
  • 한글파일 승강기기능사 필기요점
    교류회로에서 동위상인 회로 :저항만의 조합회로 ★ 배빗 채움 고정: 1가닥 ? P= VIcos theta? ... 블리드오프 회로: 효율이 비교적 높다 ? ... 60초이내 예비전원을 2시간 이상 작동할 것 ■교류엘리베이터 제어방식 -교류 2단 속도 제어방식 -교류 귀한 전압 제어방식 -가변전압 가변주파수 제어방식 ■간접식 유압엘리베이터= 로프
    시험자료 | 9페이지 | 2,000원 | 등록일 2022.02.22 | 수정일 2022.02.25
  • 한글파일 비상용 예비발전설비
    라) 비상용 예비발전설비는 다른 용도의 회로에 일어나는 고장 시 어떠한 비상용 예비발전설비 회로도 차단되지 않도록 하여야 한다. ... 화재 및 기계적 보호를 유지하기 위한 구조적인 외함또는 개별 화재 구획 등 화재 시 손상되지 않는 회로 보전 방법으로 고정하여 설치하여야 한다. 8) 비상용 예비발전설비의 제어 및 ... 다만, 비상용 예비전원이 필요한 기기의 운전에 악영향을 미치지 않는 회로는 제외한다. 9) 직류로 공급될 수 있는 비상용 예비발전설비 전로는 2극 과전류 보호장치를 구비하여야 한다.
    리포트 | 11페이지 | 2,500원 | 등록일 2022.04.21
  • 한글파일 현대엘리베이터 전기설계직 합격자소서
    자격증 공부 및 학교수업인 전기기기에서 전동기에 대해 공부했고 전력공학과 회로이론 그리고 제어공학 공부는 가변전압 가변주파수 제어회로 설계 시에 도움이 될 것입니다. ... 물론 현대엘리베이터에서의 실무는 일반적인 전기공사가 아니므로 다른 점이 많이 있을 것으로 생각합니다. ... 그뿐만 아니라 스마트시대에 걸맞도록 소비전력을 자체 절감하는 스마트한 엘리베이터나 다양한 시스템도 설계하고 싶습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.09.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업