• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(292)
  • 리포트(277)
  • 논문(6)
  • 자기소개서(5)
  • 시험자료(2)
  • 방송통신대(1)
  • 이력서(1)

"Programmable logic c" 검색결과 201-220 / 292건

  • 한글파일 FPGA에 대해서
    구]조 일반적인 기본 구조는 컨피규어블 논리 블록 (configurable logic blocks) 어레이와 라우팅 채널로 구성된다. ... FPGA(field programmable gate array, 현장 프로그래머블 게이트 어레이)은 ... title=%EA%B0%9C%EB%B0%9C%EC%8B%9C%EA%B0%84&action=edit&redlink=1">개발시간 이 짧고, 오류를 현장에서 재수정할 수 있고,
    리포트 | 5페이지 | 1,000원 | 등록일 2011.03.20
  • 한글파일 인버터 제어법
    무접점 제어 3> PLC(programmable logic controller) : PCCPU로 시퀀스 회로를 프로그램화 (soft were)한 것으로 공장 자동화(FA)설비에 ... sequence) : 유접점 릴레이에 의하여 구성되는 기계적 제어 2> 로직 시퀀스(logic sequence) : 반도체 스위칭(switching) 소자를 사용하여 회로를 구성하는 ... 양극(anode)·음극(cathode)·게이트(gate)의 3단자로 구성되어 있으며, 게이트에 신호가 인가되면 지속적인 게이트 전류의 공급 없이도 주 회로에 역전류가 인가되거나 전류가
    리포트 | 5페이지 | 1,000원 | 등록일 2010.03.28
  • 한글파일 FPGA를 이용한 신호등구현
    FPGA를 Programm ... Company General Architecture Logic Black Type Programming Technology Xilinx Symmetrical array Look-up ... type : is {mode} file_name> ; - Examples type VEC_FILE is file of std_logic_vector
    리포트 | 52페이지 | 1,500원 | 등록일 2007.08.08
  • 한글파일 자동화응용실험보고서(PLC).
    이는 새로운 제어 장치의 출현을 요구하게 되었다. 1969년에 미국의 GM(General Motor)사의 요구에 따라 PLC(Programmable Logic Controller)라는 ... PLC(Progammable Logic Controller)의 배경 자동화 라인을 구성하고 있는 단위기계의 기본요소는 기계구조를 위주로해서 액추에이터, 검출기, 그리고 프로세서(process ... A : 회전실린더 A1 A2 AY1 AY2 BY1 BY2 B1 B : 수평실린더 B2 C : 수직실린더 C1 C2 CY1 CY2 D3 D1 D2 DY1 DY2 D : 로드레스 실린더
    리포트 | 18페이지 | 2,000원 | 등록일 2010.04.24
  • 한글파일 [메모리][메모리 관련 용어][IC메모리][비메모리][플래시 메모리][메모리 관리방법][반도체]메모리의 개념, 메모리의 분류, 메모리 관련 용어, IC메모리, 비메모리, 플래시 메모리, 메모리 관리 방법 분석(메모리)
    DEVICE=C:\DOS\HIMEM.SYS DEVICE=C:\DOS\EMM386.EXE HIMEM.SYS를 실행시켰으니 3Mb가 전량 XMS로 할당된 것은 확실하다. ... 또한 외부출력선들은, 메모리 장치가 수만 개의 워드를 갖기 위해 2개 혹은 그 이상의 IC를 쉽게 결합시킬 수 있도록 결선논리(wired logic)를 구성할 수 있다. Ⅵ. ... Programmable ROM) 4) 플래시 메모리(FLASH Memory) Ⅳ.
    리포트 | 12페이지 | 5,000원 | 등록일 2008.09.16
  • 워드파일 PreLab> Usage of XilinxISE on Spartan-3 프리렙 스파르탄 3 보드에서 자일링스 사용법
    CLB ( Cofigurable Logic Block ) – PSM (programmable Switch Matrices)과 함께 FPGA를 구성하는 기본 block이다. ... PSM(Programmable Switch Matrices) – CLB의 출력 신호를 이웃한 CLB의 입력으로 전달할 수 있도록 path를 만들어 주는 역할을 한다. ... VHDL coding 후 SAVE 및 Syntax check C. Assign Package Pins 에서 pin 할당 D.
    리포트 | 18페이지 | 2,000원 | 등록일 2009.01.02
  • 파워포인트파일 이산사건을 이용한 PLC코드의 검증
    Logic Controller) 시스템 1. ... PLC의 그래픽 기능을 활용한 언어 Sequence의 영향을 받음 전자회로도 형식을 따르기 때문에 입력 및 출력 접점을 상수(TRUE(1), FALSE(0))로 표현 ◈ PLC (Programmable ... B위치에서 활송장치 문이 열리고 재료를 6초 동안 배출하고 시간이 되면 문을 닫고 C위치로 이동. 3.
    리포트 | 11페이지 | 1,000원 | 등록일 2010.05.08
  • 한글파일 [공학]PLC
    PLC 의 출현배경과 정의> -PLC(Programmable Logic Controller)란>> 종래에 사용하던 제어반 내의 릴레이 타이머, 카운터 등의 릴레이 제어반 기능을 LSI ... 참고자료출처>> http://blog.naver.com/meparksky / http://festoone.co.kr/produce/ http://changwon.ac.kr/~robotics ... Logic Controller)라는 이름으로 명명하였다.
    리포트 | 3페이지 | 1,000원 | 등록일 2007.04.30
  • 한글파일 컴퓨터사양조사 리포트
    Programmable ROM ? 단 한 번에 한해 사용자가 임의로 기록할 수 있는 ROM EPROM ? Erasable ROM ? ... type=image_list&docid=222478&dir_id=080205"> 중앙처리장치는 비교, 판단, 연산을 담당하는 논리연산장치(arithmetic logic unit ...
    리포트 | 10페이지 | 2,000원 | 등록일 2010.11.18
  • 파워포인트파일 [공학기술]CMOS VLSI 설계의 원리(6장)(H.E.Weste)
    array logic; PAL) 프로그램가능 논리 디바이스 (programmable logic device; PLD) PAL을 프로그램하는 방법 용융가능한 연결(fusible link ... CMOS 설계방법 6.3.4.2 Algotronix Algotronix사의 CAL1024(configurable array logic) ⇒ 3232 행렬로 배열된 1024개의 동일한 ... logic blocks ;CLBs)들은 상호연결을 위해서 수평,수직으로 배열됨 6.
    리포트 | 20페이지 | 2,000원 | 등록일 2007.04.02
  • 파워포인트파일 Aligning Supply Chain Strategies with Product Uncertainties
    (IRL) - 일부 Chip은 소비자에게 도착된 후 소프트웨어에 의해 완성됨 (Field-programmable logic) Adaptec 'Alliance'라는 프로그램 활용 원거리에 ... point) 최종 조립과 테스트는 한국, 필리핀 등에 있는 파트너사가 실시 ⇒ Cisco, Dell, Motorola, HP 등에 공급 Internet –Reconfiurable-Logic ... Internet can be a powerful tool for supporting or enabling supply chain ⇒ 시장환경과 제품특성에 따라 전략도 다르게 적용해야
    리포트 | 18페이지 | 2,000원 | 등록일 2008.11.18
  • 한글파일 [공학]PLC 개념과 사용원리 및 실험
    실험 배경 이론 - PLC(Programmable Logic Controller) PLCProgrammable Logic Controller의 약어이다. ... 본 론 ▶실험장치 구성 ① IBM PC 486 ② Programmable Logic Controller ?중앙처리장치(CPU) : 프로그램을 수행하며 각종 입출력을 제어한다. ? ... PC based control system은 다음 세기의 주요 흐름이 되지 않을까 생각한다. ◆GM이 발표한 전자제어장치의 10대 조건 ?
    리포트 | 13페이지 | 1,000원 | 등록일 2006.06.21
  • 한글파일 AVR(ATMega128)을 이용한 이론 및 관련 소스
    각 I/O 포트들의 기능 두 가지 ① 범용 I/O 포트 포트의 각 핀을 직접 logic high, logic low로 사용자가 제어 ② 사용자 설정에 따라 각 핀마다 미리 정의된 특수한 ... . * EEPROM (Electrical Erasable Programmable Read Only Memory) 전기적으로 데이터를 소거, 기입할 수 있는 ROM의 일종으로 사용자가 ... 3) : ADC 채널 3 입력 PF2 ADC7 (ADC input channel 2) : ADC 채널 2 입력 PF1 ADC7 (ADC input channel 1) : ADC 채널
    리포트 | 24페이지 | 2,000원 | 등록일 2008.12.25 | 수정일 2020.02.25
  • 워드파일 Velilog이용해서 ALU설계.(쿼터스툴에서)
    ; wire carryout, over_flow; //Define combinational logic circuit assign H = Breg ^ {n{AddSubR}};//1`complement ... 및 실습 FPGA (Field Programmable Gate Array) 를 이용한 디지털 시스템 설계 방법 및 개념 시뮬레이션을 통한 설계 검증 과정 주어진 ALU를 변형하여 ... Quartus II 설계 툴의 개념 및 사용 방법의 이해 간단한 ALU (Arithmetic and Logic Unit)의 설계를 예제로 Verilog를 이용한 설계 방법에 대한 이해
    리포트 | 17페이지 | 1,500원 | 등록일 2008.04.09
  • 파워포인트파일 CPM 의 기본 개념 및 작성법
    필요에 의한 요소 점선 화살표로 표시 작업의 선후관계만 표시, 작업이 진행되는 것은 아님 작업 상호간의 유기적인 연관성 및 작업의 분할 등을 표시 Numbering Dummy와 Logical ... 방법 이러한 Cycle Time은 일정량의 반복작업이 존재하는 곳에서 의미를 가지므로 보통 초고층 빌딩 공정계획 등에서 유용하게 쓰이고 있음 공정관리 기법 PERT / CPM (Programme ... D B 8 None E,F C 6 A E,F D 9 A H E 4 B,C G F 5 B,C H G 3 E None H 7 D,F None 3 5 A B C D F E G H 4 8
    리포트 | 34페이지 | 2,000원 | 등록일 2010.06.23
  • 워드파일 임베디드 S/W 설계 참고자료
    그림 2의 회로는 표준 로직 74 시리즈의 IC가 사용되고 있지만, 최근에는 더욱 고도의 규모가 큰 회로를 필요로 하기 때문에 PLD(Programmable Logic Device: ... 이것을 고급언어라고 부르며, 현재의 임베디드 소프트웨어에서는 일반적으로 C언어가 사용되고 있다(그림 4). 참고로, 그림 3에 나타낸 소프트웨어는 C언어로 작성된 리스트이다. ... 소프트웨어 개발 도구 C언어로 대표되는 고급언어로 작성한 프로그램은, 컴파일러라고 불리는 도구(툴)에 의해 어셈블리 언어로 변환된다.
    리포트 | 10페이지 | 3,000원 | 등록일 2010.06.10 | 수정일 2013.12.19
  • 한글파일 PLC 실습
    □ 실험목적 PLC(Programmable Logic controller)는 제어장치의 일종으로 프로그램 제어에 가장 많이 이용되고 있는 장비로 PLC의 기능 및 조작법과 사다리도표 ... C001 P011 P030 P010 C001 CTU C001 5회 P030 LOAD P010 LOAD P011 CTU C001 5 LOAD C001 OR P030 AND NOT P011 ... (일반)□ 실습과정 ○ 프로그래밍 절차 · 본체의 스위치를 Power-on · Program Loader창에 PROGRAMMER 을 누른 뒤 “PGM” 눌러 프로그램 모드로 이동한다
    리포트 | 9페이지 | 1,500원 | 등록일 2008.07.12
  • 파워포인트파일 Energy Efficient Design of SoC Interconnect. 저전력 SOC 디자인 설계. Micro network protocol design.
    Layer 데이터의 전송을 위한 패킷단위의 데이터 분할과 재조합의 기능 수행 대부분의 망 제어 시스템에서는 성능의 관건이 패킷의 크기에 매우 민감 Software Layer 칩은 점차 programmable하게 ... 갖음 GALS : globally asynchronous locally syncronous 소비전력을 낮추기 위해 낮은 구동전압을 사용하게 됨에 따라, 신호의 세기가 1볼트 이하의 logic ... 겹치지 않게 설정 Network Layer (2) Network Power Consumption The interconnect wires The buffers The switch logic
    리포트 | 21페이지 | 1,000원 | 등록일 2007.11.05 | 수정일 2015.01.28
  • 한글파일 KNU 기초전기전자 PLC
    -10---70C 사용주위습도???OPERATING HUMIDITY????????????? ? ... LD언어는 Relay Logic의 형태와 유사하기 때문에 프로그래밍하기에는 IL언어보다 친밀성을 가지고 있지만 Logic형태의 구문만으로는 일반 프로그래밍 언어가 가지고 있는 수식구문이나 ... IEC 1131-3(International Electrotechnical Commission- Standard for Programmable Controllers-Part 3: Programming
    리포트 | 7페이지 | 1,000원 | 등록일 2009.01.21
  • 한글파일 컴퓨터의 이해 과목 주요자료정리
    PLD : PLD(Programmable Logic Device)는 제조 후 사용자가 내부 논리 회로의 구조를 변경할 수 있는 집적 회로 VLSI PLD PLD 장점 : 복잡한 논리 ... ,GHz로 표현 슈퍼스케일러 : 클럭 사이클 당 여러개의 명령어가 수행되는 cpu 파이프라이닝 : 처리 사이클의 매단계마다 cpu에 새로운 명령이 입력되는 병렬 처리기법 병렬처리 파이프라이닝 ... 데이터의 표현 데이터 디지털 데이터 : 이산적인 형태를 갖는 데이터, 수치 혹은 기호, 비트(이진수), 바이트(8bit),워드(cpu가 한번에 처리할 수 있는 데이터 량) 아날로그
    리포트 | 10페이지 | 1,000원 | 등록일 2010.04.07 | 수정일 2015.11.03
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업