• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(129)
  • 리포트(100)
  • 자기소개서(29)

"ASIC 프로젝트" 검색결과 1-20 / 129건

  • 워드파일 중앙대학교 ASIC 미니프로젝트
    [Falling_Edge_Detector.v]module Falling_Edge_Detector(sequence_in,clock,reset,detector_out);input clock; //clock signalinput reset; //reset inputinput..
    리포트 | 13페이지 | 5,000원 | 등록일 2022.01.21 | 수정일 2022.05.03
  • 파워포인트파일 ASIC 설계 및 실험 프로젝트 PPT
    ASIC설계 및 실험 ASIC 설계 및 실험 PROJECT Contents… Ⅰ. 게임 설명 Ⅱ. 프로젝트 개요 Ⅲ. 프로젝트 특징 IV. 코딩소스 및 설명 V. ... 프로젝트 특징 IV. 코딩 소스 및 설명 공학 경영 ASIC설계 및 실험 II III V VI IV I ASIC설계 및 실험 IV. ... ASIC설계 및 실험 V. 프로젝트 후기 VI. 게임 시연 공학 경영 ASIC설계 및 실험 II III IV V VI I ASIC설계 및 실험 감사합니다.
    리포트 | 20페이지 | 1,000원 | 등록일 2013.03.01
  • 한글파일 ASIC 설계 및 실험 프로젝트 코드
    똥 피하기 게임 게임의 요지 : 하늘에서 8개의 똥이 떨어진다. 한정된 공간에 갇혀 있는 주인공! 무한히 똥을 피할 수 밖에 없다. 이곳에서 나가는 방법은 단 하나! 하늘에서 떨어지는 똥을 계속 피하여 떨어진 개수만큼 움직이는 벌레를 목적지까지 움직이게 하면 이 곳을 ..
    리포트 | 8페이지 | 1,000원 | 등록일 2013.03.01
  • 파일확장자 ASIC 프로젝트 골프 게임
    verilog-HDL언어를 기초로 DE-2 BOARD를 사용하여 간단한 골프게임을 만들어 보았다. 파워 게이지 모드를 통해 날아가는 공의 속도를 조절하였으며, 바람이라는 랜덤변수를 두어 공의 날아가는 방향을 설계하였다. 공은 3차원적으로 날아가도록 하였으며, 동적과녁이..
    리포트 | 14페이지 | 10,000원 | 등록일 2008.12.15
  • 파일확장자 ASIC 프로젝트 양궁 게임
    verilog-HDL언어를 기초로 DE-2 BOARD를 사용하여 간단한 양궁게임을 만들어 보았다. 게이지 모드를 통해 확장보드의 수직 변위와 동적(움직이는보드)모드를 통해 수평변위를 결정하며 바람이라는 변수를 두어 설계하였다. 또한 7-세그먼트를 통해 최근점수와 누적점..
    리포트 | 9페이지 | 7,000원 | 등록일 2008.12.03
  • 파일확장자 ASIC프로젝트 두더지 게임
    verilog-HDL언어를 기초로 DE-2 BOARD를 사용하여 간단한 6-HOLE에서 나오는 두더지를 잡는 게임을 만들어 보았다. 총 버튼은 6개로서 6구멍에 나오는 두더지를 잡는 것에 그 목표가 있다. 두더지를 잡았을 경우는 두더지의 얼굴색으로 표현을 하였다.
    리포트 | 12페이지 | 10,000원 | 등록일 2007.12.04 | 수정일 2018.12.17
  • 파일확장자 중앙대학교 전자전기공학부 ASIC설계 송오영 교수님 프로젝트 파일 ASIC(#1)
    * RULE 2.3 : WELL EDGE < 6 의 에러는 n well의 아래쪽(세로길이)을 늘려주어서 해결하였다. 다음 그림처럼 처음에는 WELL EDGE이(가) 6보다 작아야 하는 것인줄 알고 크기를 계속 줄였으나 반대로 6보다 커야 한다는 것을 의미했다. 또한 빨..
    리포트 | 11페이지 | 1,000원 | 등록일 2014.05.03
  • 파일확장자 중앙대학교 전자전기공학부 ASIC설계 송오영 교수님 프로젝트 파일 ASIC(#2)
    지난번 과제에서는 참고사항에 명시된 것처럼 내용도 모른 채로 붙여넣기 했었던 기억이 나는데 myspice에서 파형을 얻기 위한 이러한 과정에서 spc파일의 값을 조정해보면서 이 파일이 어떤 부분에 영향을 미치는지 알 수 있게 되었다. 또한 input 값이 하나가 추가되..
    리포트 | 15페이지 | 1,000원 | 등록일 2014.05.03
  • 파일확장자 중앙대학교 전자전기공학부 ASIC설계 송오영 교수님 프로젝트 파일 ASIC(#3)
    이 부분에서 폴리실리콘이 바로 옆 측의 비아와 콘택트가 포함된 연결부위와 너무 가까워서 발생하는 에러가 생깁니다. 이를 해결하기 위해서 폴리실리콘의 두께를 줄여보았지만 그렇게 되면 폴리실리콘의 최소 두께를 못 넘겨서 에러가 발생하게 되고 다시 콘택트와 비아측을 줄이게 ..
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.03
  • 파일확장자 중앙대학교 전자전기공학부 ASIC설계 송오영 교수님 프로젝트 파일 ASIC(#4)
    ① 각 회로의 sketch② 최종 Layout그림의 스크린 캡처(전체 회로의 사이즈를 식별할 수 있어야 함.) 또는 프로그램상의 print메뉴를 통해 출력한 것⑦ 실습결과에 대한 고찰(comment) : 보고서의 중간중간 또는 마지막에 코멘트를추가해도 되며, 그 내용은..
    리포트 | 11페이지 | 1,000원 | 등록일 2014.05.03 | 수정일 2014.05.05
  • 한글파일 [asic 프로젝트] asic을 이용한 digital door lock 설계
    digital door lock 과목 : ASIC 교수님 : 0 0 0 교수님 수업시간 :00 000 xxx 000000000 000공학부 1. ... 검토 및 고찰 프로젝트 과정 중에 생긴 문제 중에서 첫 번째 문제는 도저히 배운 것만으로는 MAX를 이용하여 VHDL을 코딩하면 입력 하나로 들어온 여러 개의 입력값 들의 값과 순서를
    리포트 | 8페이지 | 2,500원 | 등록일 2004.08.18
  • 한글파일 [공학]ASIC 프로젝트(DE2 보드를 응용한 라인트레이서)
    개 요 우리 조는 처음 예상발표에는 도서관 좌석관리시스템을 ASIC 프로젝트로 구현해 보리라 마음을 먹었었다. ... ASIC 설계 실험 TERM PROJECT 전 자 정 보 학 부 전 자 공 학 과 DE_2 보드를 이용한 라인트레이서 응용 '07 ASIC TERM PROJECT -3조 순 서 1 ... 하지만 이번 프로젝트를 하는 기회에 서보모터까지 확장해서 공부하여 적용하여 보기로 하였다.
    리포트 | 18페이지 | 5,000원 | 등록일 2007.06.23 | 수정일 2014.12.04
  • 한글파일 경희대학교 asic 프로젝트( 윷놀이 게임만들기 )
    ASIC PROJECT - 랜덤변수를 이용한 2인용 윷놀이 게임 - 목 표 : 랜덤 변수를 이용하여 2인용 윷놀이를 만들어 보자.
    리포트 | 11페이지 | 5,000원 | 등록일 2007.01.18
  • 워드파일 LG에너지솔루션 BMS HW 합격 자기소개서
    수업명: ASIC 설계 주제: Verilog를 이용하여 APB-based IP 중 SIMD_single instruction multiple data 설계 및 검증 기간: 2021.09 ... (직무경험, 대내외활동, 전공수업 프로젝트 등 최대3개) 2. ... 대학교 3학년, 1년동안 58학점을 수강하며 중앙대학교 실전문제연구단 프로젝트를 진행하였습니다.
    자기소개서 | 5페이지 | 3,900원 | 등록일 2023.06.01
  • 워드파일 서울시립대 전전설2 Lab-02 결과리포트 (2020 최신)
    ASIC 방식에는 대표적으로 Full custom IC(ASIC이라 부르기도 함)와 FPGA가 있다. ... Design 탭에서 프로젝트명에 마우스 오른쪽 버튼을 누르고 new source를 클릭한다. 5. ... 프로젝트명과 저장 위치를 정하고 schematic type을 선택한다. 3. 아래와 같이 project setting을 설정한다. 4.
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 워드파일 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    프로젝트명과 저장 위치를 정하고 schematic type을 선택한다. 3. 아래와 같이 project setting을 인할 수 있다. 10. ... ASIC 방식에는 대표적으로 Full custom IC(ASIC이라 부르기도 함)와 FPGA가 있다. ... 좁은 공간에 회로가 집적된 ASIC을 이용해야 규모가 큰 시스템을 설계할 수 있다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • 한글파일 A+학점, 인텔, 엔비디아, AMD, 비메모리 반도체 전쟁 분석
    주문제작형 반도체인 ASIC ㅇ 주문 제작형 반도체인 ASIC도 ASSP와 비슷하다. ... 이는 AI 프로젝트의 생산성과 효율성을 높이는 한편 기업이 감당해야 하는 인프라 성능 관련 비용 부담을 덜어준다는 뜻으로 해석할 수 있다. ... ㅇ 로직 반도체는 다시 범용 반도체인 ASSP, 주문제작형 반도체인 ASIC, 그리고 프로그래머블 반도체(재프로그램이 가능한) FPGA로 나뉜다. 3.
    리포트 | 6페이지 | 3,800원 | 등록일 2020.11.15
  • 한글파일 SW기반 지능형 SoC 산업 환경분석
    Hexagon 685 DSP를 포함 - (IBM) 미국 국방부 산하 DARPA (Defense Advanced Research Projects Agency)기관이 진행하는 ‘인공두뇌 만들기 프로젝트 ... 빠른 속도와 높은 에너지 효율의 특성을 지니고 있어 인공지능 전용 반도체로 각광받고 있음 - ASIC은 다른 인공지능 전용 반도체에 비해 비용이 높고 개발 기간이 길며, 한번 제품을 ... 만들고 나면 기능을 바꿀 수 없지만 범용 프로세서(CPU, GPU)나 FPGA보다 성능 개선에 유리함 - 전통적인 반도체 업체 외에도 다양한 산업체들은 인공지능 알고리즘이 내장된 ASIC
    리포트 | 15페이지 | 3,000원 | 등록일 2020.06.09
  • 워드파일 [서류합] 한화시스템/방산 (HW 설계) 자기소개서
    본 경험으로 디지털 HW, ASIC 설계 분야에서의 제 역량과 열정을 확신하게 되었습니다. ... 지원 직무를 위해 노력했던 준비사항과 직무를 잘 수행 할 수 있는 본인의 전공/직무 경험, 역량 등에 대하여 구체적으로 작성하시오 [꿈의 시작: PRNG 설계] ASIC 설계 과목에서 ... 결과적으로 모두가 적극적으로 참여하면서 프로젝트는 원활하게 진행되었습니다.
    자기소개서 | 5페이지 | 5,000원 | 등록일 2024.03.24
  • 워드파일 현대자동차 연구개발 직무 첨삭자소서
    (최대 1000 자 이내로 작성)0/1000자 -> 이 질문은 직무 지원동기이자 직무관련 프로젝트 경험을 작성하는 부분입니다. ... 전자시험 업무에서 개별 소자 기능 집적화를 통한 현대자동차만의 ASIC을 개발하고 있고 아키텍처 개발 다. ... ASIC 개발에서 SPI, UART, I2C등 Digital 통신 및 Image Pipeline 모듈 설계를 담당(하며 능력을 인정받기도 했습니다.)
    자기소개서 | 13페이지 | 3,000원 | 등록일 2023.02.01
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업