• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(49)
  • 리포트(35)
  • 자기소개서(13)
  • 이력서(1)

연관검색어

"verilog 게임" 검색결과 1-20 / 49건

  • 한글파일 Verilog UpDown Game 프로젝트 결과 보고서
    주제 및 목표 ① 비교 연산과 RAM을 이용하여 UP/DOWN 게임 설계한다. ② UP/DOWN GAME 이란 Player1이 입력한 숫자(정답)를 Player2가 맞추는 게임이다. ... 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 프로젝트 결과보고서 디지털 시스템 설계 및 실험 2019 전기전자공학부 이름 : 학번 : 제목 UP&DOWN GAME ... 코드 module game(rst1, rst2, resetn, clk, LCD_E,LCD_RS,LCD_RW,LCD_DATA, key, player1, player2, seg_data
    리포트 | 8페이지 | 1,500원 | 등록일 2020.04.23
  • 파일확장자 TicTacToe(빙고게임) systemverilog
    리포트 | 3,000원 | 등록일 2016.11.16
  • 워드파일 Verilog PingPong Game
    Verilog Ping Pong Game 소개글 Verilog를 이용하여 Ping Pong 게임을 구현하였다. ... 조정바에 볼이 맞지 않으면 상대방 점수가 올라가는 게임이다. ... 두명의 사용자에 의해 조정되는 조정바1,조정바2 Digital 볼에 의해 게임은 시작된다. 각각의 조정바에 볼이 맞으면 반사되어 상대방으로 튕겨나간다.
    리포트 | 21페이지 | 3,000원 | 등록일 2012.07.27
  • 파일확장자 [Flowrian] Bingo Game 회로의 Verilog 설계 및 시뮬레이션 검증
    Bingo Game 회로의 사양 설계 사양 - Bingo Game 회로는 숫자 맞추기 게임을 수행하는 회로로서 사용자가 0~254 사이의 정수값을 입력하면 회로는 추측값을 제시하면서 ... 사용자가 입력한 목표값과 비교하여 크거나 작음을 판단 하면서 다음 추측값을 제시하는 과정을 반복하면서 최종적으로 사용자가 제시한 정수값을 스스 로 찾는 회로이다.- Bingo Game
    리포트 | 22페이지 | 2,500원 | 등록일 2017.07.06
  • 한글파일 Verilog HDL을 이용한 PIG Game 설계
    Verilog HDL을 이용한 PIG Game 설계 학 과 : 학 번 : 이름 : Professor : Abstract 1. ... PIG Game 소개 PIG Game 특징 PIG Game은 한 개의 주사위를 굴리면서 하는 게임이다. ... 주 PIG Game 입력 ROLL 버튼을 땔 때까지 주사위를 굴린다. NEW GAME 지금까지의 점수를 초기화하고 새로운 게임을 시작한다.
    리포트 | 21페이지 | 3,000원 | 등록일 2011.12.24
  • 한글파일 디지털 논리회로 Verilog HDL 을 이용하여 RLC 주사위 게임 (RLC DICE GAME) 설계
    게임기의 설계 사양과 옵션 사항 들을 Verilog HDL을 이용하여 코딩해보고 결과를 Modelsim 프로그램을 통해 검증해본다. 2. ... RLC Dice Game 의 사양 기본적으로 세팅된 바로는 3명의 플레이어가 4개의 칩을 갖고 게임을 진행한다. ... RLC Dice Game의 block diagram 4.
    리포트 | 25페이지 | 3,500원 | 등록일 2014.03.15
  • 워드파일 [지방대/최종합격] 삼성전자 메모리사업부 회로설계 자기소개서
    VHDL뿐만 아니라 Verilog를 배우고자 인터넷 강의로 독학했으며, 이를 기반으로 SoC 설계 및 프로그래밍 수업에서 C언어, Verilog로 Zynq 기반의 두더지 잡기 게임
    자기소개서 | 3페이지 | 4,500원 | 등록일 2023.05.05
  • 워드파일 [지방대/최종합격] 실리콘웍스 아날로그회로설계 자기소개서
    게임을 설계했습니다. ... VHDL뿐만 아니라 Verilog를 배우고자 인터넷 강의를 통해 독학했으며, SoC 설계 및 프로그래밍 수업을 수강하며 C언어/Verilog로 Zynq 7000 기반의 두더지 잡기
    자기소개서 | 3페이지 | 4,500원 | 등록일 2023.05.05
  • 워드파일 시립대 전전설2 Velilog 결과리포트 7주차
    피에조 부저는 코드 상에서 소리의 음량을 제어할 수 없고 자칫 잘못하면 소음을 일으킬 수 있지만, 값이 싸고 사용이 단순하기 때문에 장난감이나 휴대용 게임기, 버스 부저 등에서 사용됩니다 ... 두 가지 과제를 설계해봄으로써 7-Segment와 Piezo의 사용법과 더 자세한 verilog code사용법을 배우는 데에도 실험의 목적이 있다. ... Verilog HDL 실습 8주차 결과 리포트 Major 전자전기컴퓨터공학부 Subject 전자전기컴퓨터설계실험2 Professor Student ID Number Name submit
    리포트 | 8페이지 | 2,000원 | 등록일 2021.12.11
  • 한글파일 삼성전자 파운드리 회로설계 자소서
    그리고 디지털 회로 설계 및 언어 과목을 수강하면서 Verilog를 이용하여 디지털 시계 프로젝트를 해본 경험이 있습니다. ... 그리고 친구들과 계속 게임을 하면서 저의 당구 실력은 조금씩 올라가기 시작했습니다. ... 하지만 친구들과 처음 게임을 했을 때 실전 경험이 없던 저는 절망했습니다. 당구를 거의 쳐본 적이 없던 친구들이 오히려 저보다 당구를 잘 쳤고 언제나 저는 꼴등이었습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.11.28
  • 파일확장자 두더지 잡기 verilog with BGM ( A+ 프로젝트 결과물, 논리회로실험, 디지털시스템실험 )
    두더지 잡기 게임이며, 게임 시작과 동시에 음악도 재생됩니다. A+ 받았으며 퀄리티 보장합니다.
    리포트 | 1페이지 | 10,000원 | 등록일 2020.11.14 | 수정일 2020.11.19
  • 워드파일 시립대 전전설2 Velilog 예비리포트 8주차
    피에조 부저는 코드 상에서 소리의 음량을 제어할 수 없고 자칫 잘못하면 소음을 일으킬 수 있지만, 값이 싸고 사용이 단순하기 때문에 장난감이나 휴대용 게임기, 버스 부저 등에서 사용됩니다 ... 두 가지 과제를 설계해봄으로써 7-Segment와 Piezo의 사용법과 더 자세한 verilog code사용법을 배우는 데에도 실험의 목적이 있다. ... Verilog HDL 실습 8주차예비리포트 Major 전자전기컴퓨터공학부 Subject 전자전기컴퓨터설계실험2 Professor Student ID Number Name submit
    리포트 | 13페이지 | 1,000원 | 등록일 2021.04.16
  • 한글파일 LG이노텍 CTD 전기전자직 합격자소서
    ARM Cortex-M 기반 STM 사의 프로세서를 사용하여 bare-metal 환경에서 슈팅 게임을 제작하였고, real-time OS 환경에서 Dot-matrix에 원하는 패턴을 ... 그리고 네트워크의 연산 종류에 따라 DMA를 사용하여 외부 메모리에서 FPGA 내부 메모리로 이동한 데이터와 파라미터를 병렬 연산으로 가속하는 시스템을 Verilog를 사용하여 설계하였습니다
    자기소개서 | 2페이지 | 3,000원 | 등록일 2023.11.16
  • 워드파일 현대자동차 차량SW 제어 최종합격자소서 및 질문리스트
    STL 컨테이너 및 알고리즘과 상속 개념을 배우고 최종 프로젝트로 테트리스 게임을 만들며 위의 개념을 복습하였습니다. (2) 전자회로 3학점 4.5 / 4.5 MOSFET과 BJT ... 후수과목에서 Verilog C 언어와 Spice 프로그램 활용에 도움이 됐습니다. (3) 운영체제 3학점 4.5 / 4.5 Linux에서 시스템콜을 이용한 커널과 I/O 버퍼 및 디바이스
    자기소개서 | 4페이지 | 4,900원 | 등록일 2023.06.01
  • 워드파일 [지방대.합격] 삼성전자인턴 메모리사업부 회로설계 최종합격 자기소개서
    이후 SoC 설계 및 프로그래밍 수업을 수강하며 C언어와 Verilog로 Zynq 기반의 두더지 잡기 게임을 설계했습니다. ... VHDL뿐만 아니라 Verilog를 배우고자 인터넷 강의로 독학했습니다.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2023.05.05
  • 워드파일 [지방대/최종합격] SK하이닉스 회로개발 자기소개서
    이후 SoC 설계 및 프로그래밍 수업을 수강하며 C언어와 Verilog로 Zynq 기반의 두더지 잡기 게임을 설계했습니다. ... VHDL뿐만 아니라 Verilog를 배우고자 인터넷 강의로 독학했습니다.
    자기소개서 | 4페이지 | 4,500원 | 등록일 2023.05.05
  • 워드파일 SK하이닉스 설계 최종 합격 자기소개서(자소서)
    Snake game을 구현하다] 학부 디지털시스템 실험 프로젝트로 FPGA를 활용하여 원하는 기능을 구현하는 프로젝트를 진행한 적이 있습니다. ... 협조를 이끌어 내기 위한 구체적 행동/ 목표 달성 정도 및 본인의 기여도/ 경험의 진실성을 증명할 수 있는 근거가 잘 드러나도록 기술) (700~1000 자 10 단락 이내) [Verilog로 ... matrix를 추가로 구입하여 matrix 위에서 뱀이 이동하며 사과를 먹으면 점수가 올라가는 게임을 구현하였습니다.
    자기소개서 | 13페이지 | 3,000원 | 등록일 2023.02.13
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    실험의 목적 Verilog HDL 언어를 이용하여 디지털 회로를 디자인 하기에 앞서 Schematic 설계를 수행해 본다. ... 컨트롤러, 휴대 전화, RFID (Radio Frequency Identification) 카드, HDMI (High Definition Media Interface) 및 비디오 게임
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 도로수송부문을 중심으로 미세먼지 저감 정책 분석보고서
    도로수송부문을 중심으로 한 미세먼지 저감 정책 분석보고서 - 2019. 12. 23. I. 사례의 선정배경3 II. 사례소개4 III. 정책분석6 1. 정책문제의 형성6 1) 미세먼지 문제 현상 정의6 2) 정책행위자들이 수송부문에 재원을 집중하는 이유8 가. 수송 부..
    리포트 | 21페이지 | 4,000원 | 등록일 2020.09.24
  • 워드파일 전자전기컴퓨터설계2 FinalProject [A+]
    - Verilog Code : Calculator‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥58-66 - Verilog Code : Game_RythmStar‥‥‥‥‥‥‥‥‥‥‥‥‥‥67-71 ... -----------------------CALCULATOR------------------------------ //------------------------------리듬스타게임 ... Verilog Code ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥4-71 - Verilog Code : 변수 및 상수선언, one shot 설정‥‥‥‥‥‥‥‥4-7 - Verilog
    리포트 | 82페이지 | 1,000원 | 등록일 2017.10.19
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업