• LF몰 이벤트
  • 캠퍼스북
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

경희대학교 asic 프로젝트( 윷놀이 게임만들기 )

*상*
최초 등록일
2007.01.18
최종 저작일
2005.01
11페이지/한글파일 한컴오피스
가격 5,000원 할인쿠폰받기
다운로드
장바구니

소개글

ASICPROJECT
- 랜덤변수를 이용한 2인용 윷놀이 게임 -

a+맞은 게임입니다.

목차

목 표
제작 도구
프로그램 설명
윳놀이 프로그램 콘트롤러
게임 로직

본문내용

게임 로직 :
p1_stop이나 p2_stop 버튼이 눌리면 랜덤 한 변수 값이 변하다가(random의 clk를 p1_stop버튼으로 control 할 수 있게 하였다.) 버튼에서 손을 떼는 순간 그 랜덤 한 값을 저장하여 윷의 모양을 결정하고 그 값을 또 다른 변수에 저장하여 말의 포지션을 변경시킨다.
버튼을 누르는 순간 random한 값이 변하다가 손을 떼는 순간 0010이 나왔다면 윷의 ‘도’를 의미 한다. 도가 되면 유저 말의 위치를 1칸 앞으로 전진시킨다. 만약 p1이 버튼을 누르고 나서의 유저 1의 포지션이 유저 2의 포지션과 같게 된다면 유저2의 포지션은 초기화 되어 원 위치에 놓이게 된다. 말의 위치는 화면의 좌표에 매핑을 하여 p1의 포지션이 15라면 블럭을 지정하여 그 곳에 말의 색깔을 보여주는 방식이다. 말의 좌표는 총 17개로서 직사각형의 형태를 하고 있다.


실험 소스 :
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;

entity data_gen is
port(active : in std_logic;
reset : in std_logic;
V_sync : in std_logic;
p1_stop : in std_logic;
p2_stop : in std_logic;
H_count, V_count : in std_logic_vector(9 downto 0);
addr : out std_logic_vector(14 downto 0);
data : out std_logic_vector(2 downto 0);
wr : out std_logic);
end data_gen;

architecture beh of data_gen is
signal x_count : std_logic_vector(7 downto 0); -- Video RAM Low address
signal y_count : std_logic_vector(6 downto 0); -- Video RAM High address

참고 자료

없음

자료후기(2)

*상*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
경희대학교 asic 프로젝트( 윷놀이 게임만들기 )
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업