• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(42)
  • 리포트(41)
  • 자기소개서(1)

연관검색어

"16bit alu verilog" 검색결과 1-20 / 42건

  • 한글파일 verilog - 16bit ALU , ALU based on Adder 구현
    구현할 ALU based on Adder 의 블록도 (16bit) ALU_based_on_ADDER ▶ 게이트 레벨 표현으로 구현한 16비트 ALU 코드 (모듈명 : ALU16bit ... , OR, XOR 등)를 배열로 구현한 16비트 고속 가산기 코드 (모듈명 : fast16bit_adder_2) ▶ 코딩 ALU가 제대로 작동하는지 알기 위한 Test Bench ( ... ) ▶ 구조적 표현으로 구현한 16비트 ALU_based_on_ADDER 코드 (모듈명 : ALU_based_on_ADDER) ※ 저번 과제에 수행한 Primitive Gate (AND
    리포트 | 6페이지 | 1,500원 | 등록일 2013.06.23
  • 파일확장자 디지털시스템 verilog16bit ALU구성 프로젝트
    ALU 설계 # 설계 내용연산에 사용되는 입력 데이터는 16비트인 a, b이고, 출력 값은 16비트인 r(result)과 Carry나 Borrow 발생 혹은 오류 발생을 알리는 1비트 ... ALU란? ... # ALU의 정의ALU(산술논리연산장치, Arithmetic and Logical Unit)는 가감승제(+, -, ×, ÷)의 산술연산과 AND, OR, NOT 등의 논리연산을 수행하는
    리포트 | 8페이지 | 2,000원 | 등록일 2013.05.21
  • 워드파일 인하대 컴퓨터구조론 과제3 mips multicycle verilog
    Memory의 출력을 destination register에 쓰는 동작도 이루어진다. ⑵ TestBench ⅰ) Mulicycle_MIPS 코드의 명령어들을 다음과 같이 분석하였다. 16진수의 ... 컴퓨터 구조론 과제 Verilog를 사용한 MIPS의 Multicycle 구현 ⑴ Vivado를 이용하여 MIPS의 Multicycle 모델이 어떻게 수행되는지 분석 1. ... 명령어들을 2진수로 변환 후, bit 수 별로 구분하여 Opcode, rs, rt, rd, sa, function code, immediate를 구하였다.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.04.01
  • 한글파일 5주차 예비보고서- 디지털 시스템 설계 및 실험
    기본 Line Decoder를 Verilog 코딩을 한다. 3. Verilog 코딩 후 컴파일 및 시뮬레이션으로 결과 값을 확인해 본다. 4. ... 구현 BCD-to-7segment 디코더를 만들기 전에 지금 까지 binary ALU를 통해 나온 결과를 7 segment로 출력하기 위해서 BCD 코드로 변환이 필요하다. ... 이에 해당하는 Verilog를 코딩한다. 4. 컴파일 후, 시뮬레이션을 해본다. 5.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 워드파일 인하대 컴퓨터구조론 과제 mips pipeline 설계
    또한, Sign Extension으로 16bit의 immediate 값을 32bit으로 extend한다. ... 또한, Sign_Extend 모듈은 16bit를 sign extension 하여 32bit로 만들 때 사용된다. ... 컴퓨터 구조론 과제 Verilog를 사용한 MIPS의 Pipeline 구현 ⑴ Vivado를 이용하여 MIPS의 Pipeline 모델이 어떻게 수행되는지 분석 1.
    리포트 | 10페이지 | 2,000원 | 등록일 2021.04.01
  • 워드파일 Verilog HDL을 이용한 Mu0 프로세서 구현 프로젝트 (코드, ModelSim결과 포함)
    , 16bit-memory를 설계하여 특정한 task를 수행할 수 있도록 하는 것이 목표이다. ... 다음으로 32x16bit-memory를 합성한 결과는 아래와 같다 위 사진은 memory를 합성한 결과이며, SYNC_RAM이 생성되었으며, addr에 따라 DATAOUT으로 저장된 ... 이로써 Verilog-HDL로 코딩한 MU0프로세서와 메모리가 잘 동작하고 합성이 된 것을 확인할 수 있었다.
    리포트 | 16페이지 | 8,200원 | 등록일 2021.01.03
  • 한글파일 Lab#05 Combinational Logic Design 2
    Prelab3 (2bit 2:1 Mux) Verilog code Test Bench Timing Simulation Fuctional Simulation 2bit 2:1Mux에서는 ... 11로 넣어주었을 때 S가 0인경우는 I0인 00을 출력하였고, S가 1인경우는 I1인 11을 001 0010 13 0001 0011 14 0001 0100 15 0001 0101 16 ... 예를 들어 산술 논리 연산 장치(ALU)의 경우 수학적인 계산은 조합 논리로 구성하고 처리 순서를 조절하는 데는 순차 논리를 쓰는 식이다. 2) Decoder Decoder 는 combinational
    리포트 | 26페이지 | 1,500원 | 등록일 2016.09.11
  • 워드파일 Verilog를 이용한 Arithmetic Logic Unit (ALU) 구현 (컴퓨터 아키텍쳐 실습)
    ALU module은 위에서 구현한 것을 사용한다. 곱셈은 16bit * 16bit = 32bit이고, 나눗셈은 16bit 몫, 16bit 나머지가 되도록 한다. ... ALU_16bit_tb.v와 multiplier_tb.v가 바로 그것이다. ... 그 이유는 ALU16bit의 입력과 출력만을 받기 때문에 Shift add 과정에서 필요한 32bit shift 연산을 올바로 수행하기 힘들었기 때문이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.03.08
  • 파일확장자 [Flowrian] 16가지 연산을 수행하는 ALU 회로의 Verilog 설계 및 시뮬레이션 검증
    1. 16가지 연산을 수행하는 ALU 회로의 Verilog 설계 및 검증 동작사양ALU (Arithmetic Logic Unit) 는 2개의 이진수를 입력 받아 덧셈, 뺄셈과 같은 ... 비트 중에서 MSB (Most Significant Bit) sel[4] 은 멀티플렉서의 선택 단자에 연결되어 단자 b 와 c에 입력되는 데이터 중에 하나를 선택한다. ... 4 비트인 a, b, c 단자 3개에 입력되는데 단자 a 의 데이터는 항상 연산에 사용되지만 단자 b 와 c 의 데이터는 둘 중 하나가 선택되어 사용된다.단자 sel[4:0] 의 5개
    리포트 | 8페이지 | 1,000원 | 등록일 2012.08.18
  • 워드파일 Active-HDL 사용법에 대한 소개 (컴퓨터 아키텍쳐 실습)
    다음 시간까지 Verilog HDL을 열심히 공부하여 ALU와 곱하기 / 나누기 모듈을 미리 만들어 가서 실험 시간에는 검사만 받는 것이 목표이다. ... 그리고 결과가 4bit 이하인 경우, 최소 4bit이 되도록 0을 prepend한다 (detecting sequence가 10인 경우 00을 앞에 붙여 0010). ... 그 후 Symbol Toolbox에서 FD와 M16_1E를 각각 4개씩 드래그 앤 드랍 하여 도면에 추가했다.
    리포트 | 5페이지 | 1,000원 | 등록일 2013.03.08
  • 파일확장자 연세대학교 전기전자기초실험 전단원 예비+결과레포트 (A+) <한방에 해결하세요>
    ⑪ Why is the resister added to the galvanometer? To accidentally adjust the variable resistor variable resistor value in excess smaller galvanometer i..
    리포트 | 5페이지 | 7,000원 | 등록일 2017.12.01
  • 워드파일 Verilog를 이용한 레지스터(Register) 와 데이터패스(Datapath) 구현 (컴퓨터 아키텍쳐 실습)
    내용 TSC instruction set을 처리할 수 있는 16bit-CPU의 datapath(ALU 및 Register) 부분을 Verilog를 이용하여 구현한다. datapath ... 과정 실험 전에 미리 CPU module을 제시한 interface에 맞추어 Verilog로 작성한다. ... CPU for TSC microcomputer: cpu.v // Author: ... // Description: ... // DEFINITIONS `define WORD_SIZE 16
    리포트 | 3페이지 | 1,000원 | 등록일 2013.03.08
  • 한글파일 arithmetic circuit design(결과)
    So to implement 16-bit ALU, we connect 4-bit ALU in parallel for making 16-bit input and 16-bit output ... The verilog code is below. module ALU_16 (a0, a1, a2, a3, b0, b1, b2, b3, op, m, alu_out0, alu_out1, ... We use this, and we can obtain possible Maximum operating frequency. ⑤ Design 16-bit ALU with four 4-
    리포트 | 10페이지 | 1,000원 | 등록일 2011.07.09
  • 한글파일 [디지털시스템실험(Verilog)] Execution Combination Top 결과보고서
    DMU는 ALU를 위해 data의 순서를 재배치해주는 모듈로, 단순히 16to1 MUX를 4번 사용하면 되는 간단한 모듈이다. ... 주석에 나타내었듯이 flags의 최상위 bit는 zero, 중간 bit는 sign, 최하위 bit는 overflow이다. zero=1, sign=1일 때는 don't care로, overflow ... 현재까지 실험을 하며 다루었던 Verilog 내용으로는 input값의 오류로 인해 모듈이 정상적으로 실행되지 않고 있음을 추측할 수 있을 뿐, 보다 더 정확한 이유나 해결책은 찾을
    리포트 | 4페이지 | 2,000원 | 등록일 2011.10.05
  • 한글파일 Digital Design(Setup and Hold time)
    < ALU.v > - 1bit ALU Design ? < ALU_T > - Top Module ALU Design(16Bits) ? ... 산출논리 연산 유니트, 또는 간단히 연산유니트라고도 부른다. 16비트 컴퓨터와 같이 n비트 컴퓨터라고 표현할 때 n은 그 CPU가 가지는 ALU로서 일시에 병렬로 처리할 수 있는 데이터의 ... Verilog Code의 Simulation 결과이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2013.06.09
  • 한글파일 [Verilog] MP3에 들어가는 IMDCT를 수행하는 코드
    이때 output은 16비트가 되어야 하므로 32비트의 계산 결과를 소수점 이하 첫 번째 자리부터 15번째 자리까지 15비트를 넣어주고 MSB은 Sign bit 이므로 원래 결과의 ... Verilog Code 1. IMDCT, ALU, ROM, RAM, Testbanch Code 및 분석 2. 입출력 데이터 분석 3. 각 MODULE간 연결구조 III. ... Verilog Coos값은 -1 ~ 1까지의 값이므로 곱셈의 결과 32번째 비트는 Sign bit이고, 1~31번째 비트는 전부 소수점 이하의 값이라고 볼 수 있다.
    리포트 | 16페이지 | 1,500원 | 등록일 2010.09.09
  • 한글파일 [VerilogHDL] CLA를 이용한 16bit 산술논리장치(ALU) 설계
    ([그림 3]) ■ 회로구현 게이트레벨구조의 CLA를 이용한 16bit ALU 코드 //--------------------16-bit ALU Module--------------- ... -bit ALU CLA Module----------------// module ALU_16bitCLA(A, B, M, S1, S0, O, X, Y, Cout);], B[11:8], ... 디지털설계 고속가산기(CLA)를 활용한 16bit 산술논리장치(ALU) 설계 목 차 설계개요 개념설계 회로구현 결과검토 ■ 설계개요 고속가산기를 활용하여 8가지 연산(덧셈, 뺄셈,
    리포트 | 6페이지 | 3,500원 | 등록일 2015.08.02
  • 한글파일 [전기전자기초실험] 연산 회로 설계 실험 예비보고서
    이 두 개의 캐리가 서로 다를 경우 오버플로우가 발생하게 된다. ③ 4비트 ALUverilog HDL로 동작수준에서 구현 module ALU(en, ctrl_s, a, b, out_f ... 입력이 같을 경우 출력 - 208(LED16) ⑤ 여러 가지 ALU 및 CPU중에서 하나를 선택하여 각각의 명령에 대한 동작 조사 - CPU ? ... CISC : 인텔의 8086은 16비트 프로세서로, 명령어의 길이가 1바이트에서 8바이트까지 가변적으로 구성되어 있다. 명령어가 가변적이고 복잡하여 CISC 방식이라고 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.07.29
  • 한글파일 verilog - 생성문을 이용한 ALU 구현
    1비트 ALU (모듈명 : ALU1bit) 를 먼저 코딩한 후 그 1비트 ALU를 하위모듈로 불러와 generate 문을 이용하여 16비트ALU (모듈명 : ALU16bit_generate ... 게이트 레벨 표현으로 구현한 1비트 ALU 코드 (모듈명 : ALU1bit) + 1비트 ALU 모듈과 for-generate 문을 이용하여 구현한 16비트 ALU (모듈명 : ALU16bit_generate ... )를 배열로 구현한 16비트 고속 가산기 코드 (모듈명 : fast16bit_adder_2) ▶ 코딩한 ALU가 제대로 작동하는지 알기 위한 Test Bench (저번 과제의 16비트
    리포트 | 4페이지 | 1,500원 | 등록일 2013.06.23
  • 워드파일 Velilog이용해서 ALU설계.(쿼터스툴에서)
    자신의 새로운 ALU로 재설계 Learning Objectives Quartus II 설계 툴의 개념 및 사용 방법 간단한 ALU 설계를 통해서 Verilog를 이용한 설계 방법 시뮬레이션을 ... Quartus II 설계 툴의 개념 및 사용 방법의 이해 간단한 ALU (Arithmetic and Logic Unit)의 설계를 예제로 Verilog를 이용한 설계 방법에 대한 이해 ... mux2to1 to 16bit mux2to1 adderk nbit_adder (AddSubR,G,H,M,carryout); defparam nbit_adder.k = n; // from
    리포트 | 17페이지 | 1,500원 | 등록일 2008.04.09
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업