• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(519)
  • 리포트(505)
  • 시험자료(9)
  • 논문(3)
  • 자기소개서(2)

"토글동작" 검색결과 1-20 / 519건

  • 파일확장자 A+받은 카운터(계수기,COUNTER) 회로 예비보고서 PSPICE
    실험목적(1) JK flip-flop의 토글 동작을 이해한다. (2) 계수기의 기본 원리를 이해한다. (3) JK flip-flop을 이용한 계수기 회로의 동작을 이해한다.2. ... 즉, High 신호가 입력되고 있는 것이다. (1)에서 살펴보았듯이 JK f-f는 두 입력이 모두 1일 때 토글 동작을 수행한다. ... 만약 N-bit의 Counter가 필요한 경우 N개의 flip-flop을 사용하여 계수기를 구성하면 된다.(1) JK flip-flop의 토글 동작 JK flip-flop은 J, K
    리포트 | 5페이지 | 1,000원 | 등록일 2022.12.28
  • 한글파일 실험23_계수기 회로_예비레포트
    제목 : 계수기 회로 ⑴ JK flip-flop에서 입력이 J=K=1 일 때 토글 동작이 되는 이유를 설명하라. ... 따라서 J와 K에 모두 1이 인가되면 출력이 반전되는 toggle 동작이 일어남을 확인 할 수 있다. ⑵ JK flip-flop에서 토글 동작에 의해 계수기의 동작이 이루어지는 이유를 ... JK FF의 동작은 CLK가 인가될 때 NGT(neagtive edge) 또는 PGT에서 구동된다.
    리포트 | 2페이지 | 1,000원 | 등록일 2020.04.15
  • 한글파일 서울시립대 전자전기설계2(전전설2) 4주차 사전보고서
    (시뮬레이션과 동작검증은 실험 시간에 수행) - 실습1 always 구문을 사용하여 조건문을 넣고 if 내에 들어가는 입력값들은 reg 자료형을 사용하였고, 알맞은 논리 연산자들을 ... 여기서 a를 20나노초마다 1과 0을 무한히 토글링하는 신호를 생성하였는데, 원리는 진하게 표현한 구문에서 알 수 있듯이, 토글링하는 신호를 생성하는 a를 reg 자료형, k를 integer로 ... 즉 0 또는 1을 지속적으로 토글링하는 코드를 작성한 것이다. 3. 2‘s complement(2진 보수) 방식으로 ?
    리포트 | 6페이지 | 1,500원 | 등록일 2019.10.13
  • 파일확장자 A+받은 카운터(계수기,COUNTER) 회로 결과보고서 PSPICE
    계수기는 flip-flop의 토글 동작을 이용하는 회로이다. ... 신호가 입력될 때 출력  의 상태가 이전의 값과 반대로 바뀌게 되는 데 이를 토글 동작이라고 한다. ... 그림 1은  입력을 갖는 JK flip-flop의 기호와 이 flip-flop의 토글 동작을 나타내는 파형을 도시한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.12.28
  • 한글파일 디지털 회로 실험 및 설계 - JK Flip Flop, D, T Flip Flop 실험 1
    이렇게 하면, JK 플립플롭의 토글 동작만을 사용하게 된다. T 플립플롭도 PR과 CLR 단자를 가지고 있다. - 위 사진은 T 플립플롭의 진리표이다. ... 실험목표 ① D 플립플롭의 회로 구성과 동작을 실험한다. ② JK 플립플롭의 회로 구성과 동작을 실험한다. ③ T 플립플롭의 회로 구성과 동작을 실험한다. 2. 관련이론 ? ... 또한 J 또는 K만 1일 때의 동작도 RS 플립플롭과 같다.
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 한글파일 9. 4-bit Adder 회로 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    토글스위치와 LED값이 일치하는 것을 확인할 수 있다. 9-4-4 회로 검증 전원을 차단, 공급을 바꾸어도 제대로 동작하는지 확인한다. ... 전원을 차단, 공급을 바꾸어도 이전의 값을 유지하며, 제대로 동작하는 것을 확인할 수 있다. 9-5. ... 측정된 전압이 토글스위치와 LED 값과 일치하는지 확인하여라.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.09.07
  • 파일확장자 [A+] 중앙대학교 아날로그및디지털회로설계실습 결과보고서 9. 4-bit Adder 회로 설계
    측정된 전압이 토글스위치와 LED 값과 일치하는지 확인하여라. 9-2-1. ... 본 실습 9를 통해 조합논리회로의 예시인 전가산기의 동작과 기능에 대해 학습할 수 있다.9-2. ... 첫 번째로, AND/OR gate를 이용하여 전가산기 회로를 구현하고 전가산기의 진리표와 동일하게 회로가 동작하는 것을 확인하였다.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.02.06 | 수정일 2023.02.10
  • 워드파일 [A+] 중앙대학교 아날로그및디지털회로설계실습 9차 결과보고서
    측정된 전압이 토글스위치와 LED 값과 일치하는지 확인하여라. ... 측정된 전압이 토글스위치와 LED 값과 일치하는지 확인하여라. ... 전가산기의 진리표와 일치하게 동작하였다.
    리포트 | 7페이지 | 1,000원 | 등록일 2024.02.17
  • 한글파일 [기초회로실험]Flip-flop 회로
    J,K 입력이 둘 다 1이고, CP 단자에 신호가 입력 될 때 출력 Q의 상태가 이전의 값과 반대로 바뀌게 되는 데 이를 토글 동작이라고 한다계수기의 동작 원리 두 개의 flip-flop으로 ... JK flip-flop의 토글 동작 JK flip-flop에서 j,k 두 입력을 모두 1로 한 경우 출력 Q는 이전의 출력과 반대값, 즉 Q'로 바뀌는 것을 보았다. ... 클럭이 입력되면 하강 에지에서 Q _{0}은 토글되어 1이 된다.
    리포트 | 6페이지 | 2,500원 | 등록일 2021.04.02
  • 워드파일 인하대 전자회로실험1 Interrupt 결과보고서 [tinkercad]
    두번째 과제에서는 스탑워치를 실행시키기 위한 토글 b를 선언해주었고 스탑워치 내에서 0의 입력을 인식하기위해 토글 toggle을 선언해주었다. ... 첫번째 과제에서 ECHO모드를 실행하기위한 토글을 a를 할당하여 스위치를 누르면 a의 값을 1 다시누르면 0이 되게하였다. a=1일 때 echo모드를 실행하고 실행하다 스위치를 만나 ... 2번스위치 클릭(1) echo모드 동작중 2번스위치 클릭(2) 스탑워치 동작중 1번스위치 클릭(1) 스탑워치 동작중 1번스위치 클릭(2) 고찰 Interrupt는 외부 입력에 반응하여
    리포트 | 9페이지 | 1,000원 | 등록일 2020.10.19
  • 워드파일 실습 10. 7-segment_Decoder 회로 설계
    스위치를 추가하 여 설계한다. 10가지 다른 입력값에 대해 구현된 회로의 입력 단자와 출력단자의 전압을 측정하여 토글 스위치 값과 일치하는지 확인하여라. 0~9까지의 십진수 출력을 ... 인가하면 전류가 다이오드를 흐르게 되면서 점등하게 되는 특징을 가지고 있다. 2.2 7-segment 구동 회로 설계 설계실습계획서 10-3-3에서 그린 7-segment 구동 회로에 토글 ... 이 실험에서 7-segment의 동작을 통해 type을 확인해보고, 그type의 특징을 이해할 수 있다. 2.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.17
  • 한글파일 디지털 알람 시계 (디지털 시계 알람 기능 구현)
    전체 동작 원리 2) 카운터 회로 ① 6진 카운터 분, 초 단위의 10의 자릿수를 나타내기 위한 6진 카운터 회로이다. ... [목차] [1] 연구개요3 (1) 프로젝트 선정 배경3 (2) 최초 목표 및 사양3 [2] 연구내용3 (1) 설계 관련 이론3 (2) 설계 회로도 및 동작 이해6 (3) 전체 회로도13 ... 동작 전압의 위치에 따라 Anode, Cathode 2가지 형태로 나뉜다. Anode 형태는 Vcc를 인가하여 사용하고, Cathode 형태는 Gnd에 연결하여 사용한다.
    리포트 | 13페이지 | 1,500원 | 등록일 2020.12.19
  • 한글파일 JK 플립플롭
    토글 동작이 되도록 J-K 플립플롭을 구성하여라. 클럭 주파수를 100 kHz로 설정하고, 오실로스코프의 채널 1로는 클럭을, 채널 2로는 출력 Q를 관찰하여라. ... 이는 차고의 자동문 스위치 동작, 즉 차고 문이 열려있을 때 버튼을 누르면 문은 닫히고, 문이 닫혀 있을 때 버튼을 누르면 문이 열리는 동작과 같다. ... 토글은 플립플롭이 현재 상태와 반대되는 상태로 변경되는 것이다.
    리포트 | 18페이지 | 4,000원 | 등록일 2021.10.13
  • 한글파일 [A+보고서] 회로실험 플립플롭의 기능 예비보고서
    실험 목적 (1) 래치 회로의 기능을 이해하고 R-S 플립플롭의 구조와 동작원리를 이해한다. (2) D, JK 플립플롭의 동작을 이해한다. ? ... 이것을 플립플롭의 토글(toggle)모드라고 한다. ... 플립플롭은 클럭 펄스에 따라 1일때 동작을 하고 0일때 동작을 하지 않는다. 입력단자에 새로운 상태가 입력되어도 그 이전의 상태를 유지하고 출력한다. 래치와 플립플롭의 차이점은?
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • 한글파일 비동기식 모듈러스 카운터 실험레포트
    A단의 동작토글 동작만 하므로 J=K=1로 설정하면 된다. ③B단 동작 B단 동작은 A단의 출력이 1->0으로 변화할 때 B단의 출력이 변화한다. ... 토글 동작을 시키기 위해서 J=K=1을 인가한다. ④C단의 동작 C단은 B의 출력값이 1->0으로 변할 때만 변함을 알 수 있다. 따라서 B단의 출력을 C단의 CLK로 인가한다. ... 또한 A출력의 2분주인 형태이므로 토글 동작을 위해 J=K=1을 인가한다. C단은 B의 출력값이 1-> 0으로 변할 때만 변한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.09
  • 한글파일 D-latch,D-flip-flop,J-K-flip-flop 예비레포트
    JK 플립플럽은 SR 래치에서 금지된 입력을 토글로 바꾸어 동작하도록 만들어진 플립플럽이다. ... 결국 SR 플립플럽에 토글 기능을 합친 플립플럽니다. 입력 JK가 논리 입력 00,01,10은 RS 플립플럽과 같고, JK=11 일 때, Q는 반전된다. 5. ... Gate 형 d latch는 입력 d와 S-R 래치 동작을 제어해 주는 E 입력으로 구성된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.21
  • 워드파일 [A+] 디지털공학실험 JK 플립 플롭
    토글 모드에서 (J=1, k=1일때) 출력 주파수와 클럭의 주파수가 일치하지 않음을 관찰한다. ... IC 타이머와 IC one-shot: IC 타이머는 일반적으로 비안정 혹은 one-shot 동작을 수행하는 IC로, 주파수는 보통 100KHz 이하로 제한된다.. ... 실험순서3 (회로 시험 관찰) 17-3 회로 설계한다. 17-1의 JK 플립플롭 진리표를 이용하여 회로의 동작을 예견한다. 17-3회로를 관찰한 내용을 보고서에 작성한다.
    리포트 | 7페이지 | 2,000원 | 등록일 2023.11.08
  • 워드파일 [에리카A+] 마이크로프로세서응용 Lab5 Interrupt Counter
    다른 입력의 경우 레지스터 값을 수동으로 바꿔주면 프로그램 동작을 확인할 수 있는데, 인터럽트는 값의 변경이 수동으로 해도 안되는 것 같다. ... 프로그램 동작은 성공적이었다. Chapter 3. 결론 및 Discussion 이번 시간에는 인터럽트를 사용하는 방법에 대해서 배울 수 있었다. ... 처음에는 교수님께서 올려주신 polling 소스를 이용해 코드를 짜고 나서 토글을 이용해서 짜는 것이 좋겠다고 조교님이 얘기하셔서(이미 얘기하셨는데 못 들은 것 같다) 토글스위치로
    리포트 | 8페이지 | 1,500원 | 등록일 2020.04.02 | 수정일 2020.08.26
  • 한글파일 JK flip-flops
    목적 - JK flip-flops의 동작 및 특성을 살펴본다. B. 이론 · 토글 : 토글이란 하나의 설정 값으로부터 다른 값으로 전환하는 것이다. ... 토글모드가 0일 경우 1로, 1일 경우 0으로 변경된다. · JK 플립플럽은 RS 래치에서 금지된 입력(RS 래치에서 RS='11')을 토글로 바꾸어 동작하도록 만들어진 플립플럽이다 ... 결국, J와 K는 latch를 set하고 clear하기 위해 존재하며 RS latch에서 S,R처럼 동작함 2. single chip JK FF ① IC 7476에는 2개의 JK FF이
    리포트 | 5페이지 | 1,000원 | 등록일 2019.06.25
  • 한글파일 비교기 레포트
    실험 목적(1) 비교기의 동작을 이해한다.(2) 비교기의 전달특성곡선을 구한다.(3) 비교기의 잡음에 대한 문제점을 확인한다.2. ... 일정한 간격으로 일어나지만 기준전압이 양이나 음의 쪽으로 치우쳐져 있을 때는 토글이 일어나는 간격이 좁아지거나 넓어질 수 있다. ... 출력하고, 이면 연산 증폭기는 최저 전압인 음의 포화전압()을 출력한다.② 기준전압 변화에 따른 비교기의 전달특성곡선의 변화를 설명하시오.기준전압이 0V 일때는 입력전압에 따라서 토글
    리포트 | 3페이지 | 1,000원 | 등록일 2020.03.15 | 수정일 2020.03.17
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업