• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(178)
  • 리포트(176)
  • 논문(1)
  • 자기소개서(1)

"입력에 따른 Latch의 동작 설명" 검색결과 1-20 / 178건

  • 한글파일 홍익대 디지털논리실험및설계 8주차 예비보고서 A+
    실험 준비 1.1 Gated D Latch의 동작에 대해 설명하시오. Latch는 Enable의 레벨(0또는 1)에 따라 1비트의 정보를 보관하고 유지할 수 있는 회로이다. ... EN D Q Q’ 0 0 NC 0 1 NC 1 0 0 1 1 1 1 0 1.2 D Flip-flop의 동작에 대해 설명하시오. ... Gated D Latch와 마찬가지로 D의 입력값을 그대로 Q로 출력한다. 1.3 D Flip-flop 7474의 datasheet를 확인하시오. 1.4 T Flip-flop의 동작
    리포트 | 7페이지 | 1,000원 | 등록일 2023.09.18
  • 워드파일 Flip-Flop과 Latch [플립플롭과 래치] D Latch에서 Flip-Flop까지
    NAND로 구성된 D Latch의 모습 Symbol of D Latch 위에 설명된 바와 같이, D Latch는 데이터를 저장하고, 입력에 따라 바꿀 수도 있다. ... Q(t+1)= T’Q + TQ’ Q(t+1)= XOR (T, Q) 따라서 D Flip-Flop의 입력에 T와 Q를 XOR 연산한 결과를 입력해주면 T Flip-Flop을 구현할 수 ... D Latch동작하는 모습우리가 바라는 동작 모습 왜 우리는 State Storage에 D Latch를 사용하면 안 될까?
    리포트 | 8페이지 | 1,000원 | 등록일 2022.08.26
  • 한글파일 충북대 기초회로실험 플립플롭의 기능 예비
    예비과제 (1) Latch 회로와 flip flop 회로를 비교 설명하라. ... RS latch도 있으며, 경우에 따라서는 preset과 clear 단자가 첨가된 RS latch도 있다. ... T flip flop - JK flip flop에서 J, K 입력을 하나의 입력 T로 인가되게 한다. (3) Race problem에 대하여 timing chart를 이용하여 설명하라
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10
  • 한글파일 [A+보고서] 회로실험 플립플롭의 기능 예비보고서
    플립플롭은 클럭 펄스에 따라 1일때 동작을 하고 0일때 동작을 하지 않는다. 입력단자에 새로운 상태가 입력되어도 그 이전의 상태를 유지하고 출력한다. 래치와 플립플롭의 차이점은? ... 예비 과제 (1) Latch 회로와 flip flop 회로를 비교 설명하라. ... 입력단자는 출력을 set논리(“1”상태)와 reset논리(“0”상태)로 변화시킨다. 이때 클럭에 신호에 따라 출력에 값이 변한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • 워드파일 반도체 공정 레포트 - latch up (학점 A 레포트)
    큰 dV/dt 특성을 가진 노이즈가 입력 라인을 따라 유입되면, 이와 같은 노이즈가 게이트 산화막을 통과하여 P-WELL까지 도달할 수 있다. ... [사진1] CMOS 구조 [사진2] 사이리스터 구조 자세하게 설명하면 Latch-up은 CMOS에서 발생할 수 있는 고유의 자기파괴 현상으로 CMOS회로에서 발생할 수 있는 가장 큰 ... 높은 입력전압에서 NMOS는 ON, PMOS는 OFF 상태이며 낮은 입력전압에서는 NMOS는 OFF, PMOS는 ON이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2022.12.29
  • 워드파일 filp flop(sr, d) 결과보고서(기초실험1)-틴커캐드
    아래의 실험 결과 또한, D Latch의 이론 설명과 일치하는 것을 알 수 있다. ... SR Latch는 2개의 입력, S와 R을 가지며 서로의 출력이 입력이 되는 순차회로이다. 또, Clock의 영향을 받지 않는다. ... Clocked D latch는 clock=1인 경우에 D latch와 동일한 동작을 한다는 것을 알 수 있었다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.05.03 | 수정일 2023.11.29
  • 한글파일 [A+보고서] 회로실험 쉬프터 레지스터 예비보고서
    예비 과제 (1) Latch, Flip flop 및 Register을 비교, 설명하라. ① Latch : 회로가 간단하고 동기시키는 동작시간의 클럭이 1 혹은 0으로 유지되는 비교적 ... 병렬로, 병렬입력을 직렬로 출력, 저장된 정보를 클럭 펄스에 따라 좌, 우로 이동시킬 수 있다. (4) 레지스터 구분(데이터 취급방법) ? ... 읽고 쓰기가 동시에 이루어지지 않는 것이 래치의 기본적인 동작이라 할 수 있다. ② Flip-Flop : 회로가 Latch보다 복잡하고 동시기키는 동작이 클럭 edge부근의 짧은 시간에
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • 워드파일 서강대학교 디지털논리회로실험 레포트 6주차
    Flip-flop은 한 clock 신호에 따라 입력 상태가 sample되어 출력의 상태를 변화시키는 경우를 일반적으로 일컫는다. ... STEP14) 이상의 과정을 통해 파악한 JK flipflop의 동작설명해 보자. 그림 SEQ 그림 \* ARABIC 17. ... 그림 8에서 D-latch는 그림 5의 경우와 달리 CLK의 positive edge에서 입력의 상태가 latch되어 출력에 나타나게 된다.
    리포트 | 19페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 한글파일 4주차-실험15 예비 - 플립플롭의 기능
    R-S, Q, Q의 관계를 관찰하여 R-S 플립플롭의 동작설명하라. ... 회로와 flip flop 회로를 비교 설명하라. ⇒ Latch와 flip flop은 순서논리 회로에 사용되는 기본적인 기억소자입니다. ... Latch입력신호가 인가되는 순간 바로 출력에 반영되지만, Flip flop은 Clock신호가 인가되는 순간의 입력신호만 출력에 반영됩니다.
    리포트 | 7페이지 | 1,500원 | 등록일 2020.10.02
  • 한글파일 시립대 전전설2 Velilog 결과리포트 6주차
    N비트의 직렬입력/병렬 출력 레지스터는 N개의 클럭이 발생하는 데이터에 의해서 병렬 데이터가 결정되고 이에 따른 결과는 시프트 레지스터와 같은 동작을 한다. ? 회로 구성 ? ... 배경 이론 (1) NAND Gate를 이용한 LATCH 2개의 NAND게이트로 구성된 래치로써 Reset값에 따라 Q가 결정된다. ... 따라서 플립플롭은 Edge-Triggered방식으로 동작한다고 하고, 래치는 Level Triggered 방식으로 동작한다고 한다. ? 회로 구성 ?
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab06(예비) / 2021년도(대면) / A+
    래치(Latch) - 2개의 NOR 게이트로 구성된 래치의 동작 SET RESET OUTPUT 0 0 변화 없음 1 0 Q = 1 0 1 Q = 0 1 1 Invalid (Q = / ... 여기서 출력은 입력값과 상태값의 영향을 받는다(이전의 상태에 대한 정보를 갖고 있고, 이에 따라 같은 입력을 받는 경우에도 다른 결과를 출력할 수 있다). ... 여기서 출력은 같은 시점의 입력의 영향만을 받는다(상태에 대한 정보는 갖고 있지 않고, 오로지 입력신호에 따라 출력을 하게 되어 있으므로 입력신호가 동일하다면 출력신호가 다를 수 없다
    리포트 | 16페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 충북대 기초회로실험 쉬프트 레지스터 예비
    동작에 대해 설명하라. ... 예비과제 (1) Latch, Flip flop 및 Register을 비교, 설명하라. ... 병렬형의 경우에는 데이터를 여러 개 입력 받아서 쉬프트 시킨다. (3) 좌우 쉬프트 레지스터의 동작에 대해 설명하라.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10
  • 한글파일 아주대학교 논리회로실험 / 6번 실험 Latch, Flip-Flop 결과보고서
    회로의 동작이 D Latch와 정확히 일치한다. 하지만 Latch와는 달리, Flip-Flop은 클록 값의 영향을 받는 동기회로다. 요는 플립플 ... Latch와 Flip-Flop의 차이점에 대해서 설명하시오. 래치와 플립플롭의 차이는 앞선 2번과 3번 실험, 4번과 5번 실험의 결과를 비교 분석하면서 다룬 바 있다. ... Clock 입력이 0일 경우 이전 결과 Q(t-1)을 출력하고, Clock 입력이 1일 경우 J, K의 입력에 따라 출력이 바뀌는 것이 드러난다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.07.20
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab07(예비) / 2021년도(대면) / A+
    Prelab (1) In-Lab 실습 0/1의 코드를 작성하고, 각 단계별로 동작을 자세히 설명하시오. (FSM 디자인은 상태 천이도를 포함하여 디자인 과정을 설명할 것) a. ... Mealy Machine - Moore 머신과 마찬가지로 다음 상태는 현재 상태의 입력에 의하여 결정된다. 그러나 출력은 현재의 상태와 입력에 따라 결정된다. ... 정보통신기술용어해설 Latch. 3) FALiNUX Forum. About Latch. 4) M.Morris Mano, Michael D. Ciletti.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 디지털 논리회로 실험 8주차 D-FlipFlop 결과보고서
    4.1.1 실험은 Gated D Latch를 결선하는 실험으로 S-R Latch 앞에 AND 게이트가 있고 입력 D와 S-R Latch 동작을 제어해주는 EN 입력으로 구성되어 있는 ... 의 회로를 J-K FLIP-FLOP으로 구현하는 방법을 설명하시오. ... 이 회로는 클럭이 0에서 1로 바뀌는 상승에지에서만 출력 값들이 변하는 회로임으로 다른 상태일 때는 값이 변하지 않고 상승에지인 순간에 D의 값에 따라 Q의 값이 D와 똑같은 값으로
    리포트 | 9페이지 | 2,000원 | 등록일 2021.04.22
  • 워드파일 SoC 보고서 - 2.비동기통신(UART)
    소스코드 및 코드 설명 uart_tx uart_rx tb_uart data_latch 설계 시뮬레이션 결과 및 설명 tb_uart 시뮬레이션 nRst nRst는 초기에 0을 주고 이후에 ... 시뮬레이션 결과 및 설명 ------------------------ p.25 A. tb_uart 시뮬레이션 B. uart_tx 시뮬레이션 C. uart_rx 시뮬레이션 D. data_latch ... 모든 과정은 clk에 따라 동작하도록 설계. pcnt가 7에서 0으로 넘어가는 지점마다 serialin의 신호를 xmtdata에 한 비트씩 저장.
    리포트 | 40페이지 | 2,500원 | 등록일 2021.09.23
  • 파워포인트파일 디지틀논리회로실험 10 플립플롭
    플립플롭 실험 목적 RS 플립플롭의 기본개념을 파악하고 RS-latch 와의 차이점을 발견한다 . D 플립플롭의 기본개념을 파악하고 D-latch 와의 차이점을 발견한다 . ... 실험 순서 7400 을 이용해 RST – FF 을 결선하고 입력값에 따른 출력값을 관찰한다 . 7400 을 사용해 D-FF 를 결선하고 입력값에 따른 출력값을 관찰한다 . 7474 ... IC 를 사용해 D-FF 를 결선하고 입력값에 따른 출력값을 관찰한다 . 7400,7410 IC 를 이용해 JK-FF 를 결선하고 입력값에 따른 출력값을 관찰한다 . 5. 7476
    리포트 | 12페이지 | 3,000원 | 등록일 2019.11.05 | 수정일 2021.11.15
  • 워드파일 디지털 논리회로의 응용 멀티바이브레이터
    제어장치가 있는 RS래치(Gated SR Latch)의 경우에는 clk신호에 따라서 래치의 작동이 제어된다. ... 왜냐하면 이 표가 출력값이 입력의 전류값에만 의해서 결정되는 복합회로를 설명하지 못하기 때문이다. ... 또한 이 회로는 입력신호의 상승에지에 따라서 출력신호가 일정한 주기로 발생하기 때문에 상승에지 트리거링이라 볼 수 있다.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.03.03
  • 워드파일 VHDL을 통해 구현한 ShiftRegister 실습보고서
    그 중 Shift동작을 하는 Register을 직접 설계하고, 실행해보았으며, 입력값들에 대해서 어떻게 출력이 바뀌는지에 대해서 관찰하였다. 입력다. ... 1.목적(Purpose) 이번실습은 FlipFlop을 이용한 Shifter을 설계하는 것으로, Clock과 Enable 그리고 mode에 따라 각각 다른 동작을 하는 회로를 설계하는 ... 배경이론(Background) 1)S-R Latch S-R Latch(래치)는 한 비트의 정보를 저장 하는 회로이다.
    리포트 | 16페이지 | 2,000원 | 등록일 2020.12.24
  • 워드파일 시립대 전전설2 [6주차 예비] 레포트
    Essential Backgrounds for this Lab 래치(LATCH) 2개의 NAND게이트 게이트로 구성된 래치의 동작 래치(LATCH) 2개의 NOR 게이트로 구성된 래치의 ... D 래치와 D 플립플롭에 대하여 timing diagram 을 그려서 비교 설명하시오. D래치와 D플립플롭은 클럭과 입력의 관계에서 차이를 나타낸다. ... D플립플롭 같은 경우는 따라 변하지 않고 클록이 인가되어있을때의 값을 계속적으로 나타내는 반면 D래치는 지속적으로 클록이 입가되는 한 D입력의 변화에 영향을 받는 것을 알 수 있다.
    리포트 | 7페이지 | 2,000원 | 등록일 2019.07.29
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업