• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(28)
  • 리포트(27)
  • 자기소개서(1)

"스톱워치 VHDL" 검색결과 1-20 / 28건

  • 한글파일 스톱워치 vhdl 구현 보고서
    A반 전기전자기초실험 10조 12183446 최유미 12183355 박지인 1.블록도 2.statemachine 상태도 3.vhdl 코드 1)Clk_div
    리포트 | 14페이지 | 2,000원 | 등록일 2013.12.24
  • 파일확장자 VHDL을 이용한 스톱워치 구현
    I.프로젝트 개요VHDL을 이용한 Dot Matrix LED 제어 및 STOP WATCH 구현3개의 Dot Matrix로 MIN, SEC, SEC_HUN 표현Stop 버튼 과 reset
    리포트 | 13페이지 | 3,000원 | 등록일 2011.09.07
  • 한글파일 VHDL Stop Watch를 이용한 스톱워치 설계
    비고 및 소감 < 설계 내용 및 목적 > - 1 MSEC의 단위로 0~9999 Msec까지 범위의 작동하는 스톱워치를 설계하고 실제로 확인하라. < 소스작성 및 분석 > - 도입부는 ... 설계 VHDL Simulation값 4............. Segment 동작사진 5............. ... VHDL Stop Watch 설계 목차 1............. 실험 내용 및 목적 2............. 소스작성 및 분석 3.............
    리포트 | 7페이지 | 1,500원 | 등록일 2012.11.17
  • 한글파일 VHDL - 디지털 스톱워치(Digital Stop Watch) 프로젝트
    프로젝트 목표 - VHDL에 프로그램을 작성하여 다수의 7segment로 디지털 스톱워치를 만들 수 있다. 4. ... 지금까지 배웠던 여러 가지 설계를 응용하고 조합하여 보다 고난이도의 스톱워치를 만들 수 있는 단계까지 올라왔다. ... VHDL Source/Waveform ? hz : 1kHz Clock으로부터 1/100초인 ???
    리포트 | 19페이지 | 2,000원 | 등록일 2011.03.01 | 수정일 2021.07.04
  • 한글파일 [vhdl] 시계 스톱워치
    결과 레포트 클럭 제너레이터 ? 소스 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity clk_gen is port(clk,rst:in std_logic; clk100: ..
    리포트 | 18페이지 | 2,000원 | 등록일 2004.06.03
  • 한글파일 [VHDL] stopwatch 스톱워치 설계 / LPM Counter
    Problem) Stopwatch를 설계하시오. 조건) ◎ 기본주파수 100Hz를 분주하여 1초의 주기를 갖는 Clock 설계. ◎ Second와 Minute를 7seg 4개로 표시. ◎ Start, Stop, Clear 입력. ◎ 24시간과 요일을 나타내는 시계를 설..
    리포트 | 14페이지 | 1,000원 | 등록일 2009.02.08
  • 한글파일 [토끼] VHDL로 구현한 [시계와 스톱워치가 내장된 자동차 연료 잔류량 표시기] 텀프로젝트
    응용논리 텀프로젝트 최종 보고서 조 지 도 교 수 주 제 시계와 스톱워치가 내장된 자동차 연료 잔류량 표시기 조 원 1. ... SW3 : 시계 시, 분 조정 SW2 : 시계 시, 분 선택 SW1 : 시계 기능 선택 5) 스톱워치 *** Toggle 스위치를 사용한다. ... SW2 : 시간 시작, 멈추기, 리셋 SW1 : 스톱워치 기능 선택 일정 시간 연료 소비량 계산시 이용 6) Character LCD *** Character LCD를 사용한다.
    리포트 | 43페이지 | 5,000원 | 등록일 2013.01.17 | 수정일 2020.07.10
  • 한글파일 논리회로설계실험 스탑와치(stopwatch) 레포트
    지금까지 배웠던 모든 설계 실습을 총망라 할 수 있는 스톱워치를 설계한다. 2) 설계 목표 VHDL을 이용하여 스탑워치를 만든다. ... 분주기를 설정해 주기위한 VHDL 코드에 대해서 알아본다.
    리포트 | 13페이지 | 7,000원 | 등록일 2021.10.09
  • 한글파일 한국가스공사(KOGAS) 전기설비직 인턴 합격자소서
    그 결과 스톱워치의 기존 기능에 세계시각과 1분마다 화면에 변화를 주는 기능을 넣었습니다. ... 몇 가지의 기능을 VHDL로 설계하여 BASTYS 보드에 매칭 하는 것이었습니다. 4학년 수업도 아니고 타과 수업이라 시간을 맞추어 팀원과 오랜 시간을 내는 것이 어려웠습니다. ... 생각하는 이유를 기술하여 주십시오. (500자 이내) 자기분야의 최고를 추구하는 것이 전기설비시공에 있어서 중요하다고 생각합니다. 4학년 2학기에 Xilinx ISE 툴을 이용해 스톱워치
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.10.30
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    베릴로그나 VHDL, 배치와 배선(PAR)을 합성하고, 생성된 펌웨어 파일은 칩을 설정하는데 사용된다. ... 스톱워치 (13) 4.3. 달력 (13) 4.4. 알람과 타이머 (14) 4.5. 세계 시간 (14) Ⅲ. 결론 (14) Ⅳ. ... 기능 □ 0.01초까지 표기. □ 시간 기록 기능 구현. □ 스톱워치의 RESET, STOP 기능 구현. 4) 달력 기능 □ 연/월/일/요일을 표현. □ 버튼 스위치로 값을 변경.
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 파일확장자 VHDL 디지털 시계
    VHDL을 이용하여 시계, 알람, 타이머, 스톱워치 기능을 설계하였습니다. 사용자로부터 입력을 받을 수 있으며, 이를 LCD로 출력합니다.
    리포트 | 63페이지 | 3,000원 | 등록일 2010.12.07
  • 워드파일 논리회로설계실험 스톱워치 설계과제2 결과보고서
    논리회로설계 실험 설계과제 보고서 #2 Stopwatch 실험 배경 및 목표 VHDL을 이용한 여러가지 VHDL표현 방식에 대해서 이해하였으며 그에 따른 여러 조합회로와 순차회로 ... 또한 VHDL로 작성된 코드를 RoV-Lab3000을 사용하여 직접 하드웨어로 구현해 보며 사용법에 대하여 완벽히 숙지하였다. ... 따라서 stopwatch 구현 실험에서 스위치, reset 버튼을 사용하여 동작을 제어 할 수 있는 분, 초,초의 시간을 표현하는 stopwatch VHDL 코드를 작성해 보고 RoV-Lab3000을
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • 파일확장자 부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) 발표PPT포함
    부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) ->시간표시,시간설정, 알람, 스톱워치,요일표시 중간, 최종발표PPT포함
    리포트 | 4,000원 | 등록일 2016.02.17 | 수정일 2019.06.10
  • 파워포인트파일 VHDL 을 이용한 디지털 시계 만드는 최종 보고서
    VHDL 최종 보고서 목 차 설계의 목표 자료조사 사용부품 사용장비 구현방법 기대효과 일정계획 ( 블록도 ) 프로그램 소스 설계의 목표 무엇을 만들 것인가 ? ... 스톱워치를 만들 예정 입니다 . 분 , 초 , 그리고 알람 기능도 넣었습니다 . ... 완성품을 가정하고 동작절차를 설명 1. reset 버튼을 누르면 초기화 2. set 버튼을 누르면 분이나 초 설정 3. strtstop 버튼을 눌러서 스톱워치 정지 4.
    리포트 | 20페이지 | 2,000원 | 등록일 2010.12.23
  • 한글파일 응용논리회로 텀프로젝트 제안서
    바탕으로 VHDL에 대해서 좀더 익숙해 지는 계기를 마련하기 위하여 선정하였습니다. 7. ... - 스위치 입력시 시계에서부터 -> 스톱워치 -> 달력 -> 알람 순서로 표시 - 스위치로 각 기능 선택 상황에서 시간 및 날짜 변경등의 세부 설정 선택 - 스위치 입력시 에서 선택된 ... 설정 - Character LCD 에 주기능인 시계와 요일, 날짜, 오전/오후 동작을 2줄로 구현 - 알람 동작은 시간과 분이 일치했을 때 1분간 led 점등 또는 부저 울림 - 스톱워치
    리포트 | 4페이지 | 1,000원 | 등록일 2010.10.13
  • 한글파일 VHDL의 활용(_디지털시계의 설계)
    디지털시스템의 설계를 위한 VHDL의 기본과 활용 VHDL의 활용 [ 디지털시계(digital watch)의 설계] ▣ 제1절 목표,구성 및 동작 ? 설계의 목표 ? ... 7_segment : 시간표시, 스톱워치 시간 표시(8개) ? LED : 모드의 표시(4개) ? 디지털시계의 동작 ? ... 시간(time)표시 기능, 시간수정, 스톱워치(stop watch) 기능의 디지털 시계 설계 ? 모드선택과 시간수정은 버튼이용, 시간표시는 7_segment이용 ? 구성 요소 ?
    리포트 | 12페이지 | 1,500원 | 등록일 2010.06.13 | 수정일 2017.12.22
  • 파일확장자 [디지털시스템] Project2 보고서 VHDL을 이용한 Digital Clock Mode Generator (소스포함)
    일반적인 전자시계의 경우 특정 버튼을 누르게 되면 시간이나 날짜, 스톱워치나 시간이나 날짜 변경 등을 할 수 있다. ... 1 Introduction 이번 실습에서는 digital clock chip 에 있어 사용되는 mode generator 를 VHDL(VHSIC Hardware Description ... 기능을 구현하기 위해 이번 실습에서는 3 개의 버튼을 가정하고 그 버튼을 누를 때마다 MODE 가 바뀌도록 구현하여 이 변화를 다른 모듈에 알려주는 MODE GENERATOR 를 VHDL
    리포트 | 13페이지 | 3,500원 | 등록일 2008.07.07
  • 한글파일 디지털 시스템 설계 / VHDL을 활용한 디지털 시계(기능 막강)
    SW4 키를 누르면 현재의 초 의 십단위 초의 일단위 10분의 1초, 100분의 일초가 차례대로 세그먼트 4자리 표시가 된다. < 현재 18.48초를 가르키고 있다. > 만약, VHDL ... 소스를 참고 하면 스톱워치 기능 (SW_START=0)으로 만들 경우 스톱워치로써도 사용이 가능하다. ... 만약, 스톱위치 기능(SW_START=0) 으로 활성화 시키면 스톱워치처럼 시간을 눌렀다 때서 젤수 있는 특수 기능을 할수 있다.
    리포트 | 17페이지 | 1,500원 | 등록일 2007.11.18
  • 한글파일 스톱워치 구현 보고서
    Term Project - Stop-Watch 실험 - 과 목: 디지털회로실험 및 설계 교수명: 학 과: 전자공학과 조번호: 12조 학 번: 이 름: 1. 실험목표 0부터 59초까지의 시간을 측정하는 데 사용되는 간단한 디지털 시계의 회로를 구성하여 실험하고 결과를 ..
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.03
  • 한글파일 VHDL을 이용한 디지털시계설계
    시뮬레이션의 결과가 만약 디지털시계에 표시되는 스톱워치 기능이라면 다음과 같이 나타난다. 00:00:03:40 시간과 분은 마이크로 단위이기 때문에 보이지는 않지만 초 단위와 1/100초 ... -분 출력 second : out integer range 59 2의 초 단위 시간의 카운터는 sw_f1을 누른 상태이므로 계속적으로 증가하지만, 모드 1일 때의 1/100초의 스톱워치의 ... VHDL을 이용한 디지털시계 4. VHDL Code 5. 실험 결과 및 분석 6. 실험방법(트레이닝 키트) 7. 결론 및 느낀점 1.
    리포트 | 31페이지 | 1,000원 | 등록일 2011.12.30
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업