• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(238)
  • 리포트(204)
  • 자기소개서(34)

"디지털논리 fpga" 검색결과 1-20 / 238건

  • 파일확장자 VHDL과 FPGA 실습 키트를 이용한 묵찌빠 게임 [디지털논리회로 실험 Term Project]
    1. 서론 2016년 3월 알파고와 이세돌의 바둑 경기가 끝난 후 전 세계적으로 인공지능 붐이 일어나고 있다. 최근에는 4차 산업 혁명에서 핵심인 인공지능을 이용하여 스타크래프트 경기를 준비하고 있다고 들었 다. 세계적인 추세에 힘입어 우리는 묵지빠 게..
    리포트 | 28페이지 | 4,000원 | 등록일 2020.04.24 | 수정일 2020.04.27
  • 워드파일 서강대학교 21년도 디지털논리회로실험 2주차 보고서 (A+자료) - Logic Gates, FPGA
    Gates를 이용해 논리 함수를 최적화해본다. - Wired OR logic의 특성과 활용 방법에 대해 이해한다. - FPGA를 이용하여 간단한 논리 회로를 구현하고 동작을 확인해본다 ... 이론 2.1 Logic signals and gates 디지털 논리 값은 0(low)와 1(high)가 있는데, 기본적인 함수 AND, OR, NOT, NAND, NOR를 이용해 디지털 ... 디지털논리회로실험 2주차 실험 보고서 목적 - TTL logic gates의 동작 방법을 익히고, Logic level과 noise margins, fanout에 대해 이해한다. -
    리포트 | 20페이지 | 2,000원 | 등록일 2022.09.18
  • 파일확장자 [디지털논리회로실험]텀프로젝트 - Finite state machine과 counter를 이용한 메시지 전송기 설계(FPGA)
    실험목적- 한 학기 동안 직접 실습하며 배운 내용들을 모두 총합하여 하나의 결과물로 완성 시킬 수 있다. 배경이론1) FSM(FInite State Machine)-FSM은 유한한 개수(finite)의 상태(state)를 가지며 각 상태에 따라 다른 동작을 하는 프로그..
    리포트 | 17페이지 | 2,000원 | 등록일 2014.05.06 | 수정일 2014.06.29
  • 한글파일 [디지털논리] FPGA에 대한 조사
    또한 사용중 설계 사항이 바뀌면 새롭게 바뀐 논리 회로를 FPGA 소자 에 입력하여, 바뀐 논리 회로로 작동하도록 한다. 즉 용도 변경이 가능한 하드웨어 로 볼 수 있다. 2. ... 또한 ASIC 회로 설 계 전에 중간 단계로 FPGA를 활용하는 방법도 있다. ... 실제 사용 예 { { { 위의 FPGA 는 actel사의 act 시리즈다.
    리포트 | 3페이지 | 1,000원 | 등록일 2003.12.11
  • 워드파일 FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트
    관련이론 1) FPGA FPGA(Field-Programmable Gate Array)는 설계 가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다. ... board의 용도 및 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다. 3. ... 프로세서나 기타 여러 가지 디지털 칩과 같은 특정한 집적회로를 설계하기 위해 사용된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 예비 보고서
    디지털논리회로실험 예비 보고서 [8주차] 실험 7. Finite State Machines 1. ... , 2009 2) 서강대학교 전자공학과, 디지털 논리회로 실험, 서강대학교, 2017 3) 74LS76 데이터 시트 ... 참고문헌 1) Stephen Brown & Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, 3판, McGraw-Hill
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 한글파일 7주차 예비보고서- 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부 디지털 시스템 설계 및 실험 이름 : 학번 : 실험제목 Sequential Circuit 설계 및 구현 실험목표 1. ... Quartus를 이용하여 FPGA의 시뮬레이션을 진행한다. 이번 실험의 회로도 및 진리표 1. 동기식 UP/DOWN 카운터 1. ... 위의 논리 회로의 결과는 다음과 같다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    Spartan-3 FPGA Attributes - 20만개의 논리 게이트가 구현 가능하다. (3) 본 실험 장비인 HBE Combo-II SE는 FPGA 칩의 동작을 실험 할 수 있는 ... 실험의 목적 Verilog HDL 언어를 이용하여 디지털 회로를 디자인 하기에 앞서 Schematic 설계를 수행해 본다. ... 이러한 것은 지연을 더 예측가능하게 하고 논리-내부선 속도를 더 빠르게 하지만 유연성이 떨어진다. 반대로 FPGA 구조는 내부선에 따라 결정된다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 전전설2 실험2 예비보고서
    전원을 연결하고 컴퓨터에서 FPGA내부의 look up table에다 내용을 저장하면 저장된 논리표에따라 동작하는것으로 IC를 모의실험 할수 있는 것이 FPGA 이다. ... 약 수천~수만 개의 게이트가 들어 있다. - 웬만한 복잡한 회로를 구현한다면 FPGA를 사용할 것이고, 단순한 논리회로를 설계한다면 CPLD를 이용할 것이다. - 일반 FPGA 는 ... Schematic 설계는 ISE가 제공하는 여러 가지 종류의 logic gate 심볼을 직접 불러와서 배치하고 연결함으로써 디지털 회로를 디자인한다.
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • 한글파일 ring,jhonson counter 예비레포트
    관련 이론 (1) FPGA (field-programmable fate array) FPGA 는 설계가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다. ... 대부분의 FPGA는 프로그래밍 가능 논리 요소에 간단한 플립플롭이나 더 완벽한 메모리 블록으로 된 메모리 요소를 포함하고 있다. ... 설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산 기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍 할 수 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2022.08.21
  • 워드파일 Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증 예비레포트
    . - Field Programmable Gate Array(FPGA) board의 용도 및 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다. 3. ... =, >, >= 관계 연산 논리 연산자 && 논리적 AND || 논리적 OR ! ... Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증 예비레포트 1.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    Digital Design with an Introducton to the Verilog HDL 5thedition 3) XILINX DS099 Spartan-3 FPGA Familiy ... 실험의 목적 Verilog HDL 언어를 이용하여 디지털 회로를 디자인 하기에 앞서 Schematic 설계를 수행해 본다. ... 설계 data를 SRAM에 저장하기 때문에 반복 사용할 수 있는 방식으로 XILINX, PLESSY, ALGOTRONIX 등이 그 예)과 ANTI FUSE 방식(FUSING에 의해 논리
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • 워드파일 Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    관련이론 1) FPGA FPGA(Field-Programmable Gate Array)는 설계 가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다. ... board의 용도 및 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다. 3. ... 설계 가능 논리 소자는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍할 수 있다.[1] 넓은 평야
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • 파일확장자 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    VHDL을 사용하여 논리회로를 기술한다.2. Vivado 환경에서 작업을 한다.3. Xilinx Artix-7 FPGA에 porting 한다.4. ... 동영상 제작주차별 계획1주차 : 계획 보고서 작성에 있어, 디지털 공학, 전자회로 과목 복습 및 VHDL 강좌 수강, 툴 설치(VAIVADO)_최신버전, 회로의 대략적인 구상과 동작 ... 8가지 기능* 논리연산 회로- 게이트와 멀티플렉서로 구성- 각 게이트가 정해진 논리 연산을 수행하고 이 결과들 중에서 하나를 멀티플렉서로 선택하여 최종 출력값을 결정- AND, OR
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • 파워포인트파일 디지털설계방법의 종류
    디지털 회로를 FPGA 로 설계하는 단계 1) 기술 배핑 : CLB 를 네트리스트로 변환함 . 2) 배치 : FPGA 상에서 CLB 를 선택함 . 3) 배선 : 네트리스트에 따라 CLB ... AND-OR 구조 FPGA (Field Programmable Gate Array) 대규모 논리회로를 구현하는데 사용됨 . 빠른 설계 프로토타입을 구성할 수 있는 기술임 . ... 디지털 설계 방법의 종류 ALLPPT.com _ Free PowerPoint Templates, Diagrams and Charts 목차 완전 주문형 설계 방식 반 주문형 설계 방식
    리포트 | 12페이지 | 3,000원 | 등록일 2022.08.10
  • 한글파일 sr latch,D,T flip-flop 예비레포트
    그러나 PAL이 일반적으로AND - OR 게이트로 된 구조적인 어레이를 취함에 따른 회로 구현의 효율성이 낮은 것에비하여 다양한 형태의 디지틀 회로를 구현할 수 있는 논리 및 연결 ... -FPGA 의 용도 및 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다. 3. ... 실험 장비 Digilent Nexys4 FPGA Board, vivado design suite 2014.4 4. 관련 이론 -FPGA 란?
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • 워드파일 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    이 회로를 FPGA를 통해 구현한 후, Digital 핀의 할당 STEP 12: FPGA 모듈을 7-segment display와 NI myDAQ에 연결하였다. ... 디지털논리회로실험 3주차 실험 보고서 목적 - 일반적인 binary decoder와 encoder의 동작 원리를 이해한다. - 7-segment decoder의 동작원리를 이해한다. ... 그림33 그림34 – 좌상단부터 00, 01, 10, 11 Digital Writer를 이용해 DIO0와 DIO1에 디지털값을 입력해주었더니, 표7의 진리표에 맞게끔 7-segment
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 한글파일 Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    -Field Programmable Gate Array(FPGA) board의 용도 및 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다. -1-bitFullAdder와 ... 관련 이론 -FPGA FPGA(field programmable gate array)란 설계가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다. ... 대부분의 FPGA는 프로그래밍 가능 논리 요소에 간단한 플립플롭이나 더 완벽한 메모리 블록으로 된 메모리 요소를 포함하고 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • 한글파일 FSM회로 구현 예비레포트
    -FPGA 의 용도 및 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다. 3. ... 관련 이론 -fsm 유한 상태 기계(finite-state machine, FSM) 또는 유한 오토마톤(finite automaton)은 컴퓨터 프로그램과 전자 논리 회로를 설계하는 ... 실험 장비 Digilent Nexys4 FPGA Board, vivado design suite 2014.4 4.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • 워드파일 FPGA구조와 ASIC 설계 방법 실험 레포트
    디지털 회로가 일반적이었지만 1990년대 후반부터 아날로그 회로도 제작하게 되었다. ... 대부분의 FPGA는 프로그래밍가능 논리 요소 (FPGA 식으로는 논리 블록이라고도 함)에 간단한 플립플롭이나 더 완벽한 메모리 블록으로 된 메모리 요소를 포함하고 있다. ... 일반적인 FPGA논리 블록은 아래에 보이는 것처럼 4개의 입력 룩업 테이블 (lookup table)과 플립플롭으로 구성된다.
    리포트 | 4페이지 | 2,500원 | 등록일 2021.11.08
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업