• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(6,220)
  • 리포트(5,334)
  • 자기소개서(368)
  • 시험자료(276)
  • 방송통신대(211)
  • 논문(19)
  • 서식(5)
  • ppt테마(4)
  • 이력서(2)
  • 노하우(1)

"논리설계및실험" 검색결과 1-20 / 6,220건

  • 파일확장자 한양대 논리설계및실험 Breadboard 및 기본 논리게이트
    실험 목적칩 회로도를 구성하고 있는 논리 회로를 배우며 AND, OR, NAND 게이트의 input, output 데이터를 숙지한다. ... input 데이터가 반대 일 경우 output 데이터를 추측할 수 있다.Breadboard를 이용해 회로를 구성해 input 값을 다르게 주어 Truth Table 출력값을 확인해보는 실험
    리포트 | 5페이지 | 2,000원 | 등록일 2023.03.21 | 수정일 2023.03.24
  • 워드파일 디지털 논리회로 실험설계 4주차 예비보고서
    디지털 논리실험설계 4주차 예비보고서 실험 준비 1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오. ... 에 대해서만 보면 week3에서의 decoder실험에서와 유사하며 일 때, 의 값이 살고 나머지는 0이 되어 가 가 된다. ... 실험 결과 S1 S0 D3 D2 D1 D0 Y 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 0 0 1 0 0 1 1 1 0 0 1 1 0 0 0 0 0 1 0 0 1 1
    리포트 | 5페이지 | 2,000원 | 등록일 2023.01.31
  • 한글파일 텀프로젝트 디지털논리실험설계 [ 주차장 카운트 ] A+자료
    6조 Term Project 보고서 과목명 디지털회로 실험설계 재료비 약 8만원 1. ... 시뮬레이션 및 실험결과 분석 처음 시뮬레이션을 완성했을 때와 브레드보드에 구현했을 때의 차이점은 캐리를 0으로 두지 않았을 때에 차이가 발생했다. ... 실험 사진, 동영상 9. 결론 및 고찰 ? - 남이 만든 작품을 참조하지 않고 아이디어만 얻어 오로지 나의 힘으로 회로를 만들고 짜보니 작동이 되지 않았을 때 많은 의심cs
    시험자료 | 19페이지 | 6,500원 | 등록일 2023.12.15
  • 파일확장자 [A+, 에리카] 2022-1학기 논리설계및실험 Breadboard 및 기본 논리 게이트 실험 결과보고서
    Cathode 모드로 두고 실험을 진행하며, 출력이 논리1 일 때 led가 점등되고 anode모드일 경우에는 출력이 논리 일 때 0 led가 점등된다.- ED-1000의 +5V단자와 ... 부분과 브레드보드의 부분을 연결하여 전원을 공급한다.- ED-1000의 input data 스위치는 High이면 1, low이면 0을 입력한다.Boolean Algebra이진 변수의 논리
    리포트 | 9페이지 | 2,500원 | 등록일 2023.02.28 | 수정일 2023.03.04
  • 한글파일 디지털 회로 실험설계 - 기본 논리 게이트(Gate) 및 TTL, CMOS I.F 실험 2
    디지털회로실험설계 결과 보고서 #1 ( 기본 논리 Gate 및 TTL, CMOS I/F 실험 ) 과 목 담당교수 제 출 일 학 번 이 름 1. ... NOT을 이용한 XOR 설계 이론값) A B X 0 0 0 0 1 1 1 0 1 1 1 0 실험결과) A=0, B=0 A=0, B=1 A=1, B=0 A=1, B=1 A B X 출력전압 ... H H L L L L L L L L L 결과분석 - 논리레벨 H 단계도 4.4V로 충분히 잘 나왔고, 논리레벨 L 단계에서 완전 0V가 나오진 않았지만, 0.xxxV 정도 측정되어서
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 파일확장자 [A+, 에리카] 2021-1학기 논리설계및실험 Register 실험결과보고서
    실험 목적register에 대해 이해하고 이를 회로로 설계할 수 있다.Chapter 2.
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • 파일확장자 [A+, 에리카] 2021-1학기 논리설계및실험 디지털IC 개요, 조합논리회로 실험결과보고서
    특히 논리적이고, 계산이 가능한 쉬운 모델 로 설계가 용이하기에 아날로그보다 디지털을 이용하여 대부분의 설계가 이루어짐을 알 수 있다.  회로의 종류 - 논리회로 : 논리 게이트를 ... 이용하여 구성된 회로이다. - 조합논리회로 : 오로지 입력에 의해서만 출력이 결정되며 따로 메모리를 갖고 있지 않은 회로이다. - 순차논리회로 : 입력과 현재의 상태에 의해 출력이 ... 결정되며 조합논리회로와 달리 메모리 에 회로의 상태를 저장하는 회로이다.  Boolean Algebra(부울 대수) 이진 변수의 논리 동작을 다루는 산술연산 ① Boolean product
    리포트 | 11페이지 | 2,500원 | 등록일 2023.02.28
  • 한글파일 홍익대 디지털논리실험설계 1주차 예비보고서 A+
    디지털 논리실험설계 1주차 예비보고서 1. ... 실험 결과 2.1 기본실험 (1) -예상 실험 결과 A B X 0 0 0 0 1 0 1 0 0 1 1 1 - AND 게이트는 두 개의 입력 단자가 모두 1일때만 1을 출력하므로 X는 ... 따라서 기본 실험(2) 의 값을 뒤바꾸면 된다. 2.5 응용실험 (2) -예상 실험 결과 A B X 0 0 0 0 1 1 1 0 1 1 1 0 - (notA를 A’로 표현) A’B+
    리포트 | 6페이지 | 1,000원 | 등록일 2023.09.18
  • 한글파일 홍익대 디지털논리실험설계 9주차 예비보고서 A+
    디지털 논리실험설계 9주차 예비보고서 1. ... 결과는 응용 실험 (1)의 존슨 카운터와 동일하다. ... 실험 결과 2.1 기본실험 (1) CLK D Q0 Q1 Q2 Q3 ?? 1 1 0 0 0 ?? 0 0 1 0 0 ?? 1 1 0 1 0 ?? 0 0 1 0 1 ??
    리포트 | 5페이지 | 1,000원 | 등록일 2023.09.18
  • 한글파일 홍익대 디지털논리실험설계 2주차 예비보고서 A+
    디지털 논리실험설계 2주차 예비보고서 1. 실험 준비 1.1 NAND 게이트 7400, NOR 게이트 7402, XOR 게이트 7486의 datasheet를 확인하시오. ... -응용 실험 (1) -응용 실험 (2) -응용 실험 (3) 1.4 응용 실험 (3)의 결과를 예상하고 이유를 서술하시오. ... 실험 결과 2.1 기본실험 (1) -예상 실험 결과 A B X 0 0 1 0 1 1 1 0 1 1 1 0 -NAND 게이트는 AND 게이트에 NOT 게이트(인덕터)를 연결한 것과 같은
    리포트 | 6페이지 | 1,000원 | 등록일 2023.09.18
  • 한글파일 홍익대 디지털논리실험설계 5주차 예비보고서 A+
    디지털 논리실험설계 5주차 예비보고서 1. 실험 준비 1.1 기본 실험 (2)의 전가산기 [그림 2]는 반가산기 [그림 1] 두 개와 하나의 OR 게이트로 이루어져 있다. ... 가산기는 이진수의 덧셈 연산을 수행하는 논리회로이다. 이진수를 덧셈을 수행할 때, 1과 1을 더하면 이진수로 10이 출력되어 한가지 비트를 더 필요로 하게 된다. ... 전가산기 두 개를 직렬로 연결하면 두 자리 이진수의 덧셈 연산을 할 수 있는 논리회로가 구현될 것이다. 첫 번째 전가산기의 C_out이 두 번째 전가산기의 C_in으로 입력된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.09.18
  • 한글파일 홍익대 디지털논리실험설계 10주차 예비보고서 A+
    디지털 논리실험설계 10주차 예비보고서 1. 실험 준비 1.1 비동기식 카운터와 동기식 카운터의 작동 원리와 차이점에 대하여 서술하시오. ... 실험 결과 2.1 기본실험 (1) ※모든 Q0-Q3 값을 LOW(0)로 설정 후 진행. 응용 실험 (1)을 이어서 진행. < 예상 실험 결과 > CLK Q0 Q1 Q2 Q3 ?? ... 기본 실험 (1)의 비동기식 카운터와 마찬가지로 주파수가 절반이 되는 특징이 있다. 2.3 응용실험 (1) ※실험 전 Q0=1, Q1=1, Q2=1, Q3=0 으로 설정 후 진행.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.09.18
  • 한글파일 홍익대 디지털논리실험설계 6주차 예비보고서 A+
    디지털 논리실험설계 6주차 예비보고서 1. ... ALU는 산술 논리 연산 장치로(Arithmetic Logic Unit), 두 개의 4비트 문자를 입력받고 16가지의 논리 연산과 16가지의 산술 연산을 수행하여 4비트의 출력값을 ... 따라서 두 개의 네 자리 이진수를 입력한 후 XOR 논리 연산을 수행하여 출력값이 0000이 나오는지 확인하는 방법을 이용한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.09.18
  • 한글파일 홍익대 디지털논리실험설계 8주차 예비보고서 A+
    디지털 논리실험설계 8주차 예비보고서 1. 실험 준비 1.1 Gated D Latch의 동작에 대해 설명하시오. ... 즉, 응용 실험 (1)은 T의 값에 의해 동작하고 응용 실험 (2)는 CLK만을 이용해 동작하는 회로이다. 1.6 D Flip-flop이 아닌 J-K Flip-flop으로 응용 실험 ... 따라서 T Flip-flop은 T에 1이 들어올 때마다 Toggle이 일어난다. 1.5 응용 실험 (1)과 응용 실험 (2)의 회로를 비교하시오.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.09.18
  • 한글파일 홍익대 디지털논리실험설계 7주차 예비보고서 A+
    디지털 논리실험설계 7주차 예비보고서 1. 실험 준비 1.1 S-R Latch와 S’-R’ Latch의 동작에 대해 설명하시오. ... 실험 결과 2.1 기본실험 (1) - 예상 결과 Q S’ Q’ R’ S’ R’ Q Q’ 0 0 Invalid 0 1 1 0 1 0 0 1 1 1 No Change S와 R이 Active-LOW ... 하지만 직접 회로를 결선하면 PRE’와 CLR’에 11을 입력한 경우와 동일하게 작동하게 된다. 2.5 응용실험 (2) - 예상 결과 CLK J K Q Q’ ??
    리포트 | 8페이지 | 1,000원 | 등록일 2023.09.18
  • 한글파일 홍익대 디지털논리실험설계 4주차 예비보고서 A+
    디지털 논리실험설계 4주차 예비보고서 1. ... 실험 결과 2.1 기본실험 (1) E’ S1 S0 D3 D2 D1 D0 Y 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 0 0 1 0 0 1 1 1 0 0 1 1 0 0 ... 실험 준비 1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오. - 멀티플렉서는 n개의 입력값을 받고 그 중 하나의 값만 선택하여 출력하는 단자이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.09.18
  • 파일확장자 [A+, 에리카] 2021-1학기 논리설계및실험 MUX, DEMUX 실험결과보고서
    실험 목적MUX, DEMUX를 이해하고 이를 회로로 설계할 수 있다.Chapter 2. ... 쌍으로, 입력단과 출력단을 제어신호에 따라 연결하는 일종의 스위치 박스이다.ü Multiplexer(MUX)- 여러 개의 입력선 중에서 하나를 선택하여 단일 출력으로 내보내는 조합논리회로
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • 파일확장자 [A+, 에리카] 2021-1학기 논리설계및실험 Verilog HDL 2 실험결과보고서
    실험 목적Verilog HDL을 통해 FPGA를 이용하여 Full adder와 D 플립플롭을 설계해본다.Chapter 2. ... 관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증, ... 가상으로 시험하는 Test bench로 구성되어 있다.- Module 단위로 설계한다.ü HDL Design level- 각 설계 레벨에 우열은 없으며, 상황에 맞는 사용이 이루어져야
    리포트 | 6페이지 | 2,500원 | 등록일 2023.02.28
  • 파일확장자 [A+, 에리카] 2021-1학기 논리설계및실험 Verilog HDL 3 실험결과보고서
    실험 목적Verilog HDL을 통해 FPGA의 7 segment를 이용하여 Timer를 설계해본다.Chapter 2. ... 관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증, ... 가상으로 시험하는 Test bench로 구성되어 있다.- Module 단위로 설계한다.ü HDL Design level- 각 설계 레벨에 우열은 없으며, 상황에 맞는 사용이 이루어져야
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • 파일확장자 [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Counter 실험결과보고서
    실험 목적Counter에 대해 이해하고 10진 카운터를 설계할 수 있다.Chapter 2.
    리포트 | 5페이지 | 2,500원 | 등록일 2023.02.28
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업