• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,618)
  • 리포트(1,347)
  • 논문(76)
  • 시험자료(74)
  • 자기소개서(69)
  • 방송통신대(47)
  • 서식(4)
  • 이력서(1)

"교통신호제어기" 검색결과 1-20 / 1,618건

  • 한글파일 교통신호제어기 VHDL 설계
    교통신호제어기 설계 Ⅰ. 수행 및 제출(1) Ⅱ. 수행 및 제출(2) Ⅲ. 수행 및 제출(3) Ⅳ. ... 수행 및 제출(4) 교통신호제어기에는 대기 모드(standby mode), 평시 모드(정상 동작), 테스트 모드로 총 3가지의 동작 모드가 존재한다. ... 똑같은 방법으로 RY, GR, YR 다시 RG 순서로 신호가 흘러가는데 YY 상태, 즉 대기 상태가 해체되면 RY상태로 전환이 되서 RY상태부터 신호등이 정상적으로 시작될 것이다.
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.26 | 수정일 2022.12.15
  • 파일확장자 3지 교차로에서 보행자 교통량에 따른 보행자작동신호기를 이용한 신호제어효율에 관한 분석
    반감응 신호제어의 경우 부도로에 교통량이 적을 때 주도로의 교통소통을 원활히 하여 교차로 내 지체를 감소시킬 수 있으며, 보행자작동신호기는 보행자가 없음에도 불구하고 보행자 신호를 ... 본 연구는 기존 정주기식 신호제어로 인하여 비효율적으로 운영되고 있는 3지 교차로의 문제점을 보완하는 방안으로써 반감응 신호제어를 기본으로 하고 보행자작동신호기를 사용하는 신호운영방식의 ... 시나리오 별 분석을 통하여 차량당 평균지체시간을 비교한 결과, 대상교차로는 정주기식 신호제어에 비하여 보행자작동신호기를 이용한 신호제어방식이 최소 3.7초(10%), 최대 6초(16%
    논문 | 8페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • 한글파일 교통신호 제어
    2011. 5. 18 디지털 공학 (교통신호 제어기) 소 속 : 학 번 : 성 명 : 교통신호 제어기 설명 본 교통신호 제어기에서는 디코더를 활용한 상황에 따른 동작 원리에 대해 설명을 ... 제어기의 구성 구성도 교통신호제어 시스템은 다음 그림에 나타난 것과 같이 조합 논리회로, 순차논리회로 그리고 타이밍 회로로 구성된다. ... LongTrig = S1 + S3 ShortTrig = S2 + S4 교통신호 제어기의 논리회로 앞서 설명한 논리 구성도를 바탕으로 회로를 구성하면 다음과 같은 논리 회로가 구성이
    리포트 | 5페이지 | 1,000원 | 등록일 2011.09.17
  • 파일확장자 통합형 교통신호제어기의 안정성 평가 및 활용방안 (The evaluation and application plan of integrated traffic signal controller)
    대한교통학회 대한교통학회지 강동윤, 이철기, 오영태, 이환필 ... 대도시에서 발생하는 많은 문제 중 교통문제는 가장 심각하게 대두되고 있으며 도시구조와 교통체계간의 부조화, 교통시설 공급의 부족, 운영관리의 미숙 등 여러 요인이 복합적으로 작용하고 ... 교통문제의 해결을 위해 기존 교통시설의 극대화를 위한 ITS 시스템의 도입이 필요하며 ITS 장비는 관리주체/시스템 별 각종 장비 및 통신 인프라가 별도로 구축되고 있으나 관리주체가
    논문 | 10페이지 | 3,000원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 한글파일 VHDL을 이용한 교통신호제어
    교통신호제어의 설계 2. 하드웨어 구조 3. VHDL CODE 분석 및 결과 4. 결론 및 느낀점 1. ... 교통신호제어의 설계 1) 설계의 목표 ◇ 사거리신호를 기준으로 특정 시간을 주기로 LED가 제어되는 신호등의 구현. ◇ 점멸기능의 추가 (점멸 버튼을 누르면 황색램프와 보행자 신호가 ... 결론 및 느낀점 - 지금까지 교통신호기의 제어에 대해 VHDL구문을 이해하고, Max+ Plus를 이용한 프로그램을 돌려보면서 시뮬레이션 결과 값을 산출하는 과정에서 구문에 대한 결과
    리포트 | 10페이지 | 1,500원 | 등록일 2011.12.30
  • 파일확장자 영상검지기를 이용한 실시간 교통신호 감응제어 (A Development of a Real-time, Traffic Adaptive Control Scheme Through VIDs.)
    대한교통학회 대한교통학회지 金成鎬
    논문 | 30페이지 | 5,900원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 파일확장자 교통조건, 기하구조 조건 및 검지기 설치위치에 따른 실시간신호제어시스템 포화도 산출방식 진단 (Diagnosis on Degree of Saturation Model of COSMOS Affected by Geometric and Detection Conditions and Detector Placements)
    본 연구는 서울시 실시간신호제어시스템 서버 운영 이력자료를 활용하여 교통조건, 기하구조 조건, 검지기 설치위치 등 현장여건에 따른 실시간신호제어시스템 포화도 모형의 포화도 추정 패턴을 ... 교통신호를 가변 운영하는 실시간신호제어시스템은 방향별 움직임 포화도 추정을 위해 이론기반 모형을 활용하나, 현장구축 실무에선 지침 부재로 모형에 고려되지 않은 운영상황에도 시스템을 ... 대한교통학회 대한교통학회지 김준용, 김진태
    논문 | 14페이지 | 4,300원 | 등록일 2016.07.11 | 수정일 2017.02.02
  • 파일확장자 3지 교차로에서 보행자 교통량에 따른 보행자작동신호기를 이용한 신호제어효율에 관한 분석 (An Analysis on Signal Control Efficiency in a Three-Leg Intersection Adopting Pedestrian Push-Button System Following Pedestrian volume)
    이러한 지체를 감소시키기 위한 신호운영방안으로써 실시간으로 검지기의 자료 이용하여 신호제어하는 감응식 신호제어의 연구 및 설치가 활발히 이루어지고 있다. ... 위와 같은 감응식 신호제어방식과 함께 교차로의 불필요한 지체를 감소시키는 방안으로써 보행자작동신호기를 설치 운영하는 방안들이 연구되고 있다(조한선, 2007). ... 정주기식 신호제어의 경우 교통량이 시간대별로 일정하고 많은 교차로에 효율적이지만 그렇지 않은 교차로의 경우 불필요한 지체를 유발하게 된다.
    논문 | 6페이지 | 3,000원 | 등록일 2015.03.25 | 수정일 2017.02.01
  • 한글파일 Verilog를 이용한 교통신호제어기(TLC) 설계
    색 ll : 국도 신호등의 색 pl : 횡단보도 신호등의 색 2. ... : Local road에서 차를 감지하는 센서 P : 보행자가 누르는 버튼 clock : 시스템 clock clear : 1일 경우 시스템 리셋 Outputs hl : 고속도로 신호등의
    리포트 | 11페이지 | 1,500원 | 등록일 2009.11.17
  • 한글파일 국내외 교통신호제어기 기술현황 및 신기술 동향 조사
    외국사례조사 본 과제의 핵심개발기술인 저전력 신호제어 기술에 대한 효과비교를 위해 다른 나라에서 널리 사용되고 있는 제어기의 주제어부와 신호구동부를 제어하기 위한 교류(AC)전압과 ... 제어하여 신호등부에는 출력만 나가는 방식과 제어기와 위성 모듈을 CAN BUS로 연결하여 제어하는 새로운 방식으로 모두 운영가능 (Both the traditional central ... Los Angeles 무선통신기술을 이용하여 시내 500여개의 교차로를 중앙에서 관리하는 인프라 구축을 목표로 진행되고 있지만, 디지털 제어기 자체에 대한 개발은 없는 실정.
    리포트 | 10페이지 | 2,000원 | 등록일 2013.01.28
  • 한글파일 [논리회로] 교통신호 제어기의 모델링
    교통신호 제어기의 모델링 1하나의 process문을 두 개의 process문으로 나누어 표현하라. library IEEE; use IEEE.std_logic_1164.all; use
    리포트 | 7페이지 | 1,500원 | 등록일 2003.05.28
  • 파일확장자 [전기전자] 교통신호 제어기에 관한 VHDL 코딩
    library IEEE; use IEEE.std_logic_1164.all; entity TRAFFIC is port (clk : in std_logic; Sa, Sb : in std_logic; T_OUTPUT : out std_logic_vector(5 downto..
    리포트 | 4페이지 | 1,000원 | 등록일 2003.01.12
  • 파일확장자 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 한글파일 논리회로실험_신호등(사거리) 레포트
    실험 내용 남북(NS)과 동서(EW)의 교차로가 있는 교통신호제어기를 디자인한다. 1) NS 도로가 교통 흐름이 많은 주도로이므로 EW 도로에 차량이 없을 때는 NS 도로에 녹색신호등은 ... 상태의 출력 값이 중복되는 경우가 있기에 회로의 최적화를 위하여 불필요한 경우를 줄이게 되면 최종 상태는 표 2와 같다. 2) State Transition Diagram 그림 1 교통신호제어기 ... 그리고 EW 도로에 차량이 있으면 신호가 계속 바뀌어야 한다. 3) NS 녹색 신호등은 7초 동안 진행되며, 2초간 황색 신호를 준 후 적색으로 바뀐다.
    리포트 | 17페이지 | 3,000원 | 등록일 2022.05.31
  • 한글파일 교통공학원론 신호체계 및 지능형교통체계(ITS) 정리본
    교통관리 및 운용 기말고사 1. p456 교통감응신호기 감응식, 반감응식 기능, 설명 1) 반감응신호기 검지기를 부도로 접근로에만 설치하여 운영, 부도로의 교통이 주도로의 교통 신호등 ... 없이는 안전하게 횡단할 수 없는 경우에 사용하면 매우 좋다. 2) 완전감응신호기 반감응신호기와 달리 모든 접근로의 검지기를 설치하며, 두 교차로의 교통량이 적으면서 상대적인 교통량 ... 신호제어 방법 2) 간선도로 교차로 제어 간선도로의 교통류를 연동시키는 제어로서, 모든 신호는 선형제어 시스템으로 움직인다. 3) 도로망 교차로 제어 어떤 지역 또는 지구의 신호망교차로를
    시험자료 | 22페이지 | 2,500원 | 등록일 2023.03.03
  • 한글파일 HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    Data-Ready 신호를 받은 제어기는, 출력 Enable 신호를 ADC로 보내 ADC가 Data를 MCU로 전송하도록 제어 ADC_제어기의 개요도ADC_컨트롤러 상태 다이아그램 ... 제어기에서 ADC로 Start-convert 신호 전송 3. ADC는 샘플링 시작 4. 샘플링이 끝난 ADC는 Data-Ready 신호제어기로 전송 5. ... Crosswalk Controller 횡단보도 제어기 5. ADC Controller 6.
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 워드파일 자소서-부산교통공사
    이는 신호제어 설비 보수용 각종 도구 계측기 사용 기술과 도면작성 및 설계 기술 그리고 보수작업 순서 방법을 활용하는 능력을 익히는데 도움이 되었으며 후에 신호직 직무를 수행함에 있어 ... 부산교통공사에 지원한 동기와 본인의 직업관에 대해 기술하시오.(350~500자) (출신지역, 출신학교명, 가족관계 등에 대한 내용 기재금지) 1) 부산교통공사에 지원한 동기 철도신호제어 ... 본 경험으로 부산교통공사 신호직에 지원하여 철도신호제어시설물에 대한 선진적인 시스템을 구축하고자 지원하게 되었습니다. 2) 규칙과 원칙을 준수하는 직업관 안전수칙을 준수하고 안전사고예방
    자기소개서 | 3페이지 | 3,000원 | 등록일 2022.12.05
  • 한글파일 (합격자) 교통공학 경찰 특채 직무기술서
    ○ 지능형교통시스템 및 CCTV 통합관제센터 기획 및 설계 ※ 직무내용 : 경찰청 관리사업인 UTIS, 신호제어시스템, CCTV 통합관제센터사업에 대한 기획 및 설계업무 수행 -교통안전 ... (총 5년4개월 근무) * 근무경력 및 자격증 증빙을 위한 경력증명서 및 자격증 사본첨부 직 무 기 술 □ 교통사업 현장구축경험(2013.04~2016.06(3년3개월)) ○ 지능형교통시스템 ... (A+), 교통법규(A+), 교통계획분석론(A), 교통제어 및 컴퓨터응용(A) ※ 도로개선을 위한 교통량조사 및 분석, 교내 보행자중심 도로설계실습
    자기소개서 | 2페이지 | 6,000원 | 등록일 2020.10.25 | 수정일 2022.06.26
  • 한글파일 2023학년도 한양대학교 융합전자공학부 편입 최초합 자기소개서
    V2X 기술을 통해 차량 간의 간격 및 속도 제어, 교통 현황 등 실시간 정보와 더불어 교통체증 및 사고를 미연에 방지할 수 있다는 것을 알게 되었습니다. ... 이러한 공부를 하면서 하드웨어에서 사용되는 전자 장치나 센서들과 신호처리, 제어기술에 대한 관심이 커졌습니다. ... 이와 함께 신호 및 시스템 강좌를 인터넷 강의를 통해 습득하였습니다.
    자기소개서 | 4페이지 | 5,000원 | 등록일 2023.02.08 | 수정일 2024.02.21
  • 한글파일 [2024대비] 2023 소방 공채 발표면접 기출 정리 ( 화재 및 기출 요약 정리)
    신속히 이동할 수 있도록 신호제어하는 시스템 운용방식은 중앙제어, 현장제어가 있음 중앙제어교통정보센터에동 ... 함 긴급자동차 특례 전 : 교통사고 발생한 경우 속도제한 앞지르기 금지 끼어들기 금지 경우에만 특례인정 후(2021년) : 신호 위반금지, 주정차금지, 중앙선 침범금지, 보도 통행금지 ... 아예 교통사고를 예방할 수 있는 긴급차량 우선 신호 시스템 확대를 하는 것이 좋다고 생각함.
    자기소개서 | 30페이지 | 20,000원 | 등록일 2023.12.20 | 수정일 2024.04.17
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업