• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

Verilog를 이용한 교통신호제어기(TLC) 설계

*장*
개인인증판매자스토어
최초 등록일
2009.11.17
최종 저작일
2009.10
11페이지/한글파일 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

Verilog를 이용한 교통신호제어기 설계에 대한 레포트입니다
프로그램은 ModelSim을 사용하여 컴파일 & 시뮬레이션을 했습니다

Inputs : 국도 차량 감지 센서, 횡단보도 버튼
Outputs : 고속도로, 국도, 횡단보도의 각 신호등

목차

1. Smart TLC의 Block Diagram

2. Moore 스타일 State Diagram

3. Verilog 설계

4. Test Plan

5. 파형 설계

6. 결과 화면

7. 토의

본문내용

1. S_TLC의 Block Diagram
Inputs
X : Local road에서 차를 감지하는 센서
P : 보행자가 누르는 버튼
clock : 시스템 clock
clear : 1일 경우 시스템 리셋
Outputs
hl : 고속도로 신호등의 색
ll : 국도 신호등의 색
pl : 횡단보도 신호등의 색
2. Moore 스타일 State Diagram
세부 내용은 다음 페이지에 있습니다.
Nodes

// compute values of main signal and country signal
always @ (state)
begin
hl = GREEN; ll = RED; pl = RED; // default

case (state)
S0: begin // default
hl = GREEN; ll = RED; pl = RED;
end
S1: begin // when a vehicle is detected on the local road...
hl = YELLOW; ll = RED; pl = RED;
end
S2: begin // from S1 to here
hl = RED; ll = GREEN; pl = RED;
end
S3: begin // after the vehicle has gone
hl = RED; ll = YELLOW; pl = RED;
end
S4: begin // same as S1 but this time when a person pushes the button...
hl = YELLOW; ll = RED; pl = RED;
end
S5: begin // from S4 to here
hl = RED; ll = RED; pl = GREEN;
end
endcase
end

참고 자료

없음
*장*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
Verilog를 이용한 교통신호제어기(TLC) 설계
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업