VHDL을 이용한 LED 제어 소스코드
- 최초 등록일
- 2016.07.18
- 최종 저작일
- 2016.07
- 3페이지/ 한컴오피스
- 가격 1,000원
소개글
위 자료는 소스코드만을 포함하고 있는 자료입니다.
25MHz의 클럭에서 동작
LED 8개를 이용해 "1씩 증가, 1씩 감소, 위로 탑쌓기, 아래로 탑쌓기, 박수치기" 5개의 모드로 동작함
목차
없음
본문내용
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_arith.all;
Use ieee.std_logic_unsigned.all;
Entity term_project is
port(clk : in std_logic;
SWA : in std_logic;
LED : buffer std_logic_vector(7 downto 0));
End term_project;
Architecture arc of term_project is
signal clk_100ms : std_logic;
signal SWA_D : std_logic;
signal LED_Mode : std_logic_vector(7 downto 0);
type ar_led1 is array(0 to 13) of std_logic_vector(7 downto 0);
signal ledarr1 : ar_led1;
참고 자료
없음