• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[Flowrian] Arithmetic Logic Unit 회로의 Verilog 설계 및 시뮬레이션 검증

*옥*
개인인증판매자스토어
최초 등록일
2011.12.26
최종 저작일
2011.12
14페이지/파일확장자 압축파일
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

Arithmetic Logic Unit (ALU) 회로는 데이터 패스 (Datapath)의 일부로서 레지스터에
저장된 데이터를 선택하여 산술 혹은 논리 연산 등으로 데이터를 변형하는 역할을 수행한다.

본 문서에서 설계할 ALU의 구조는 아래 그림과 같다.

* 2개의 레지스터와 하나의 멀티플렉서, 연산을 수행하는 하나의 ALU 및
하나의 쉬프터 연산 모듈로 구성된다.
* 레지스터는 변수 값을 저장하는 역할하는데 중간에 계산된 값을 저장하거나
계산된 최종 값을 저장하는 역할을 한다.
* ALU 와 쉬프트 연산 모듈은 산술이나 논리 연산에 따라 데이터를 변형하는
역할을 담당한다.
* 멀티플렉서는 데이터가 전달되는 경로를 결정한다.

설계는 Verilog 언어를 이용하여 모델링 되었으며,
테스트벤치도 Verilog로 작성하여 시뮬레이션으로 논리동작을 검증하고
결과 파형을 분석하여 설계가 올바로 되었음을 증명한다.

(주)시스템 센트로이드의 Flowrian으로 설계되었으며
Verilog 소스를 포함하여 Flowrian 관련 모든 데이터가 제공된다.

목차

1. Arithmetic Logic Unit 회로의 사양

2. 8 비트 레지스터 모듈의 Verilog 설계 및 검증

3. ALU 모듈의 Verilog 설계 및 검증

본문내용

ALU 회로는 연산 모듈, 멀티플렉서, 레지스터 등으로 구성되는데 레지스터만
별도의 모듈로 설계하고 나머지 모듈은 always 문장으로 설계한다.

reg8b : 8 비트 레지스터
alu8b : ALU 연산 회로

Verilog 언어를 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다.

1. Arithmetic Logic Unit 회로의 사양
2. 8 비트 레지스터 모듈의 Verilog 설계 및 검증
3. ALU 모듈의 Verilog 설계 및 검증

참고 자료

없음

압축파일 내 파일목록

Alu_design_20111226.zip
Alu_v1_20111226.pdf
*옥*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
[Flowrian] Arithmetic Logic Unit 회로의 Verilog 설계 및 시뮬레이션 검증
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업