• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(9,503)
  • 리포트(7,130)
  • 서식(1,603)
  • 시험자료(324)
  • 방송통신대(167)
  • 자기소개서(155)
  • 논문(99)
  • ppt테마(17)
  • 노하우(5)
  • 이력서(3)

"G코드" 검색결과 41-60 / 9,503건

  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    array에 -128 ~ 127 로 표현하는 모듈 설계 조건 *코드가 자꾸 WARNING이 떠서 시뮬레이션을 확인할 수 없었다. code (6) 실습6 4-bit up-down counter의 ... 두 번째 모듈 - 버튼마다 FND에 숫자를 출력하는 코드 code (3) 실습3 0000 ~ 1001, 즉 0 ~ 9 까지 값을 가지는 4-bit bcd 입력을 받아서 single ... 제어되는 7-Segment의 숫자가 늘어날 수록 사용하는 I/O 수도 많이 늘어나기 때문에, 사용하는 7-Segment의 a, b, c, d, e, f, g의 데이터 라인을 공용으로
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 워드파일 전기및디지털회로실험 실험7 예비보고서
    (BCD code)로 변환시키는 10진-BCD인코더 등이 잘 알려져 있다. ... (mnemonic code)로 변환(convert)하는 역할을 하는 프로그램의 것을 디코더(decoder)라고 하는 경우가 있다. ... 입력 예상신호 C B A G1 G2A G2B Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 0 0 0 1 0 0 0 1 1 1 1 1 1 1 0 0 1 1 0 0 1 0 1 1 1 1
    리포트 | 11페이지 | 1,000원 | 등록일 2023.06.30
  • 파일확장자 p-type HPGe 검출기 특성에 따른 밀도 보정인자 의존도 평가
    detectors using the Monte Carlo code MCNPX. ... 밀도에 대한 보정인자를 구하기 위해서 본 연구에서는 몬테카를로 코드인 MCNPX 코드를 사용하여 크리스털의 높이, 지름 및 코어의 크기와 같은 특성이 다른 세 대의 p-type HPGe ... 검출기를 모사하고 밀도 1 g/cm3의 교정용 표준시료를 이용하여 모 델링을 검증하였다.
    논문 | 6페이지 | 4,000원 | 등록일 2023.04.05
  • 워드파일 논리회로설계실험 2주차 XNOR gate 설계
    XNOR gate를 구현할 때, W2 강의에서 배운 NOR gate의 세 가지 방식 구현방법과 skeleton code를 참고하였다. ... 이후 Modelsim 소프트웨어를 사용하여 구현한 gate가 잘 작동하는지 Test bench code를 이용하여 파형을 확인함으로써 검증할 수 있다. 2) Theoretical Approach ... Behavioral model은 C언어와 유사하게 조건문을 사용하여 case by case로 분기한 형태를 나타내고 있다.
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • 한글파일 기계공작법 CNC밀링 (예비)
    (정확하지 않아도 됨) ② ‘자동’버튼(N.C 및 주축 기능)을 누르면 화면에 절대좌표와 남은 거리가 표시된다. (6) 프로그램(P/G) 실행 ① ‘자동’ 버튼(N.C 및 주축 기능 ... (b) Modal G-코드 G-코드의 기능이 동일 그룹의 다른 G-코드가 아래 블록에서 지령되기 전까지 계속해서 유효한 G-코드이다. ... (a) One Shot G-코드 G-코드의 기능이 지령된 블록에서만 유효한 G-코드이다.
    리포트 | 11페이지 | 1,500원 | 등록일 2021.11.13
  • 워드파일 논리회로설계실험 4주차 MUX 설계
    Experiment(실험 목적) 이번 실험의 목적은 4:1 MUX와 1:4 DEMUX를 강의 시간에 배운 2:1 MUX와 1:2 DEMUX의 modeling 방법과 skeleton code를 ... s1s0, s1s0를 구하였고, 각각을 and gate의 input으로 넣어 out1, out2, out3, out4를 구현하였다. 3.3) Testbench Testbench code는 ... _D 부터 OUTPUT4_1_TO_4_D는 각각 dataflow modeling의 output1 부터 output4 까지의 파형을 나타내고, 마찬가지로 OUTPUT1_1_TO_4_G
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.11
  • 파일확장자 (Jelly) A+ 기계공작가공 실험 요약서, 보고서 통합본
    실험 목적 기계공작 가공실험을 통해 CNC에 대해 알아보고 작동 방법 및 G-code 작성 방법을 익힌다. ... 실험 과정① 공작기계의 전원을 킨다.② 모드를 EDIT로 설정 한후, Adress 코드를 입력 한 후, IN버튼을 눌러 입력 대기 상태를 만든다.③ 설계 데이터를 따라서 작성된 G코드
    리포트 | 8페이지 | 2,500원 | 등록일 2020.08.07 | 수정일 2020.08.11
  • 한글파일 공통교양-컴퓨터의이해-홈네트워킹과 스마트홈에 대하여 설명, 메타버스에 대하여 설명, 마이크로프로세서에 대하여 설명
    데이터 매트릭스와 QR코드(Quick Response code)는 그리드에 음영을 대비시킨 셀을 배치하며 전(全)방향성을 가져 어떠한 각도에서도 판독이 가능하다. ... 기가인터넷 등의 새로운 통신기술 접목으로 초저지연(5G→6G, 클라우드 등), 초지능(초고성능 컴퓨팅, 영상 기반 AI 분석 등) 서비스가 가능해졌으며, 이러한 ICT 인프라 기술발전으로 ... 구글글래스는 음성 인식 명령어(voice action commands)와 음성-텍스트 입력(speech-to-text inputs) 방식을 사용한다.
    방송통신대 | 5페이지 | 3,000원 | 등록일 2022.02.28 | 수정일 2022.04.11
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    . - 제어되는 7-Segment의 숫자가 늘어날수록 사용하는 I/O 수도 많이 늘어나기 때문에, 사용하는 7-Segment의 a, b c, d, e, f, g의 데이터 라인을 공용으로 ... [실습 2] Design counter with Piezo Source code Testbench PIN testbench 시뮬레이션 결과 c. ... Source code Testbench Pin testbench 시뮬레이션 결과 d.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 전공영어 레포트
    The term conductance (G) is applied to the reciprocal of resistance. 용어 컨덕턴스 (G)는 저항의 역수에 인가된다. ... , and a certain number of special symbols such as $. 2) ( 숫자 코드 )는 10 진수로 이루어지는 원소의 2진 코드, 알파벳 26 글자와 ... , alphanumeric code, BCD code, binary cell, Boolean algebra, NAND, OR, exclusive-NOR, help-subtractor
    리포트 | 36페이지 | 3,000원 | 등록일 2021.05.16
  • 워드파일 데이터 통신 및 컴퓨터 통신 10판 / 성진미디어 / 17장 복습문제
    따라서 확산 코드 비트열의 전송률은 정보 전송률의 4배이다. 17.7 CDMA는 코드분할 다중접속(code division multiple access)의 약자로써, 대역 확산을 이용하는 ... 또한 Wi-Fi와 4G 셀룰러 망에 사용된다. 17.2 OFDM(Orthogonal Frequency Division Multiplexing) : 직교 주파수 분할 다중화라고도 불리는 ... 또한 상향링크에서 부채널화는 자신에게 할당된 특정 부채널에게만 전력을 집중시킬 수 있으므로 사용자 장치의 전송전력을 절약할 수 있으며, 이 같은 특성은 4G와 같은 배터리 전원 기반의
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.21 | 수정일 2022.09.15
  • 한글파일 부산교통공사 통신, 전기, 신호 전공 문제 기출 복원(09~15년)
    에러검출용코드가 아닌것은? poll code 28. 셀룰러 이동통신시스템에 대해서 나왔는데..격이..긴지문 29. 코드가 가져야 할 조건으로 옳지 못한 것은? ... 전달함수 구하는 문제 => C/R = G1G2/(1+G1G2G3G4) 24. 전압계 내부저항(가장알맞은?) 관련 문제 25. 인덕터(무엇에 비례하나?) ... 그레이 코드 -> 2진 코드로 변환 28. 방송계가 아닌 것은? VTR 29. 위상 변조도 구하는 문제: 1.5 30. 위성 궤도 이탈시 : AOCS 31.
    자기소개서 | 11페이지 | 15,000원 | 등록일 2021.12.13
  • 한글파일 마이크로프로세서+6주차+결과보고서-실습8 Timer를 이용한 디지털 시계
    실습코드 8 분석 #include #include #include enum {C0,C1,C2,C3} unsigned char FND_DATA_TBL[] = {0x3F,0x06,0x ... C1이 선택이되어야하고 TIME_S%2 ==0 >>>> TIME_S가 짝수다. 강조를 위해서 닷만 해놔도된다. 3. 실습8 코드 분석 1. ... 포트연결 : 1)포트E 의 PE0 ~ PE7 은 8핀케이블로 ARRAY FND의 A ~H 까지 연결. 2)포트G의 PG0 는 ARRAY FND의 C0, PG1 -> C1, PG2 -
    리포트 | 9페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 한글파일 자료구조(EBCDIC 코드 Zoned Decimal 표현)
    개인 프레지, “EBCDIC CODE”, https://prezi.com/mpudwjar6xdq/ebcdic-code/ ②. ... 예시 그렇다면, EBCDIC 코드를 통하여 문자를 표현해 보겠다. e G RE E N → [1000 0101] [1100 0111] [1101 1001] [1100 0101] [1100 ... 개인 블로그, “BCD코드, EBCDIC코드, ASCII코드”, http://itdexter.tistory.com/58 1) ASCII 표준화 위원회
    리포트 | 5페이지 | 8,000원 | 등록일 2021.05.12
  • 한글파일 [명지대 ERP개론] 기말고사(SD,PP) 정리
    SD 관련 조직 - Client : 고객 - Company code : 회사 코드 - Sales Organization : 상품이나 서비스의 판매를 책임, 고객과의 가격 협상하는 조직 ... 하나의 company code에 하나 이상의 sales organization을 설정 - 일대다 관계 (하나의 company code만 설정 가능) 5. ... PP 조직 구조 - Client : 고객 - Company code : 회사 코드 - Plant : 회사 내의 Operating area 또는 지점 (생산 공장, 물류센터, 본사 등
    시험자료 | 17페이지 | 2,500원 | 등록일 2023.08.17 | 수정일 2023.08.24
  • 파일확장자 새우 통발의 침지시간에 따른 어획 특성
    CPUE of code 1A, 1B and 1C per trap were 21.67g, 29.51g and 28.48g, and those of code 2A, 2B and 2C per ... trap were 25.44g, 32.93g and 33.36g. ... in experimental code A, and in code B and C, some of coonstripe shrimp(Pandalus hypsinotus) and few
    논문 | 10페이지 | 4,000원 | 등록일 2023.04.05
  • 한글파일 광운대학교 전기공학실험 M3. 숫자표시기(7-SEGMENT LED) 응용 예비레포트 [참고용]
    /sites/yjjang/down/dig13/ch03_code.pdf BCD 코드 참고 ... 이 기기는 캐소드 공통 FND와 애노드 공통 FND가 있으며, 숫자를 표시하기 위한 LED의 각각의 부분을 segment라고 하며 a~g의 이름이 정해져있다. ... 참고문헌 - https://cms3.koreatech.ac.kr/sites/yjjang/down/dig13/ch02_radix.pdf 진수변환 참고 - https://cms3.koreatech.ac.kr
    리포트 | 7페이지 | 1,500원 | 등록일 2024.01.02
  • 한글파일 2020 1학기 인터넷과 정보사회 기말대체레포트 과제
    idxno=2472 https://ko.spot-the-difference.info/difference-between-qr-code ... article_no=305&menu_cd=99&board_no=71&table_cd=EPAR08&table_no=08 https://xn--3e0bx5euxnjje69i70af08bea817g.xn ... 참고자료 http://www.ktword.co.kr/abbr_view.php?m_temp1=5710 http://www.ktword.co.kr/abbr_view.php?
    방송통신대 | 3페이지 | 5,000원 | 등록일 2021.01.21
  • 워드파일 방통대 컴퓨터의이해 A+
    개발하고 보급한 QR code는 세 꼭지점의 큰 사각형과, 나머지 한 크기 간격으로 작은 사각형이 늘어난다. ... 또한 반도체 · 사물인터넷 · 5G · 클라우드 · 콘텐츠 · 모빌리티 등 4차 산업혁명 요소 기술과도 상호작용한다. ④ 대표적 커뮤니티 서비스와 엔터테인먼트 사례로 분야블록으로 구성된 ... 하지만 많은 정보를 담고 있는 만큼 악성코드나 유해 사이트 주소를 담을 경우 이를 리더기로 읽는다면 악성 코드에 노출 될 가능성도 있고, 다방면에서 사용되다 보니 코드 생성기와 리더기
    방송통신대 | 7페이지 | 3,000원 | 등록일 2024.01.25 | 수정일 2024.02.19
  • 워드파일 디지털 논리회로(생능출판, 김종현) 2단원 정리
    code) ex) 82610 = (1011 0101 1001)excess-3 (5) 존슨 코드(Johnson code) - 다른 코드들과는 달리, 5비트들로 이루어지는 2진 코드 ... 자기-보수 코드(self-complement code) - 어떤 10진수를 d라고 할 때, 그에 대한 9의 보수는 (9-d)로 구할 수 있음 - 10진수 표현에 사용되는 2진 코드들 ... 코드 방식 - 비트들은 좌측부터 각각 8, 4, -2, -1의 자릿수를 가짐 (4) 3증수 코드(excess-3 code; 3-초과 코드) - Stibitz코드라고도 부르는 3증수
    시험자료 | 16페이지 | 3,000원 | 등록일 2020.11.09
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 05일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:36 오전