• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(185)
  • 리포트(146)
  • 자기소개서(35)
  • 이력서(3)
  • 논문(1)

"vhdl보드" 검색결과 1-20 / 185건

  • 파일확장자 보드로 움직이는 VHDL 로봇팔 제안서,최종보고서,설계포트폴리오,소스코드[DE0보드 사용]
    해당되는 포트에 연결되는데 VCC와 GND가 연결되고 키보드에 들어가는 클럭과 DATA line이 DE0보드와 ps2키보드간에 연결된다. ... IBM사의 호환 키보드였는데 다른 회사의 PC들이 이 장치를 지원하며 ps2키보드로 알려졌다. ps/2 프로토콜을 공부하고 ps/2통신을 사용하게 될 것이다. ps2키보드는 DE0보드에 ... 서보모터의 작동은 DE0 상에서 펄스 신호를 만들어 작동하는데 HIGH부분(Duty rate)을 조정하여 서보모터의 각도를 제어하고 이 신호는 DE0보드의 확장 헤더(Expansion
    리포트 | 25페이지 | 10,000원 | 등록일 2021.02.19 | 수정일 2021.03.04
  • 파일확장자 VHDL을 이용한 DE2보드 Addition, Subtraction 코드
    Library IEEE; Use IEEE.std_logic_1164.all; Use IEEE.std_logic_arith.all; Entity Lab3 is port ( SW : IN std_logic_vector(17 downto 0); ledr : OUT std_l..
    리포트 | 1,000원 | 등록일 2011.11.25
  • 한글파일 [토끼] VHDL로 구현한 4 state 구현, Altera 보드로 LED2 상태로 시뮬레이션 및 확인
    과제: 1) Model Sim에서 Simulation 2) Synplify (RTL view) 아래 그림의 상태도와 Block diagram을 참고하여 VHDL로 구현 및 합성
    리포트 | 16페이지 | 3,000원 | 등록일 2013.01.17 | 수정일 2020.07.13
  • 워드파일 VHDL코드를 이용한 spartan-3 보드구현(spartan 보드 사용법)
    Programming the Board 이제 실험실에서 직접 보드에 구현하는 작업만이 남았다. ... 보드에 구현하는 절차에 대해서 간략하게 정리하고, 실제 실험시간에 직접 해봄으로써 이해하도록 한다. 1. JTAG cable 을 pc와 Board 사이에 연결한다. 2. ... 실험 도구 personal computer, Xilinx ISE 9.2i program, Spartan-3 Board, JTAG cables 이론 및 프리랩 VHDL 소개 1.
    리포트 | 29페이지 | 3,000원 | 등록일 2008.06.01
  • 워드파일 VHDL코드를 이용한 spartan-3 보드 구현(spartan 보드 사용법)
    그리고 PROM파일 생성 후에 실제 보드에 구현해 보고, 실험이 제대로 이루어졌는지, 이루어 지지 않았다면 왜 결과가 그런지에 대해 분석해 보도록 한다. ... 실험 도구 personal computer, Xilinx ISE 9.2i program, Spartan-3 Board, JTAG cables Post Lab 1. ... 즉, VHDL코드를 편집하고, 저장, 가져오기 등 HDL editor에 관한 것과 설계된 코드의 기능적인 관점을 시뮬레이션 하기위한 Synthesis와 실제 시간적인 관점 시뮬레이션
    리포트 | 7페이지 | 2,000원 | 등록일 2008.06.01
  • 파일확장자 [전자]vhdl로만든 fpga보드 도트메트릭스
    library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity test5 is port( clk, rst : in std_logic; dot_out1: out std_logic_vec..
    리포트 | 6페이지 | 1,000원 | 등록일 2006.04.27
  • 한글파일 [vhdl] 240 보드에서 작동하는 vhdl 시계 완벽소스
    1. 구성 1) Modes -시간 표시 -스톱워치 -알람 표시 & 설정 -시간 설정 2) 외부 입,출력 입력 => CLOCK : 10KHz 클럭 Mode Button : 표시 및 설정 모드 선택 버튼 Function Button (SW_F1, SW_F2) : 설정 모드..
    리포트 | 36페이지 | 1,000원 | 등록일 2003.12.03
  • 워드파일 VHDL 코드를 이용한 M bit 가산기와 비교기(spartan 보드 구현결과)
    그리고, Behavioral VHDL 모델과, Data Flow모델을 각각 사용해서 원하는 결과값을 얻을 수 있도록 구현해본다. ... 실험 도구 personal computer, Xilinx ISE 9.2i program, Spartan-3 Board, JTAG cables Post Lab Don’t describe ... 그리고 prelab에서는 몰랐었는데, Spartan보드에 입력을 넣는 곳이 하나 더 있었다 라는 점이 놀라웠다.
    리포트 | 7페이지 | 2,000원 | 등록일 2008.09.23
  • 워드파일 bcd to excess-3 코드의 spartan-3 보드 구현결과(VHDL)
    Lab (실험 결과 및 분석, 논평)실험 목적이번 실험은 Xilinx 프로그램을 활용하여 BCD코드를 Excess-3 코드로 변환시키는 변환기를 구현하는 것인데, Behavioral VHDL ... Flow모델을 각각 사용해서 원하는 결과값을 얻을 수 있도록 구현해본다.실험 도구personal computer, Xilinx ISE 9.2i program, Spartan-3 Board ... 0000 입력................입력 0101 입력 0110 입력 0111 입력 1000 입력 1001 입력 1010 입력 2
    리포트 | 19페이지 | 3,000원 | 등록일 2008.06.01
  • 워드파일 VHDL BCD to Excess-3 Code Converter(prelab) xilinx spartan3e board실험
    VHDL (1)VHDL이란 무엇인가? ... IEEE는 매 5년 단위로 수정 VHDL판을 내놓을 예정이다. (3) VHDL의 장점 VHDL이 갖고 있는 장점을 열거하면 다음과 같다. ▷ VHDL은 매우 넓은 범위의 Design을 ... 지금까지 VHDL의 장•단점에 대해서 살펴보았다. VHDL 사용자가 잊지 말아야 할 것은 VHDL이 “Push Button”이 아니라는 것이다.
    리포트 | 28페이지 | 2,000원 | 등록일 2008.09.28
  • 워드파일 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    실습 결과 DE2 보드를 이용한 결과 및 문제점의 해결방안 처음 DE2 보드에 sof 파일을 넣었을 때, 시간은 제대로 흘렀으나 시간을 멈출 때 마다 초, 분, 시간에 +1이 되는 ... 실습용 보드인 DE2 보드에서 생성되는 CLK은 50Mhz 이므로 이것을 1초 주기로 만들기 위하여 카운터를 이용한다. 50Mhz 중 절반인 25M 번을 세어서 출력을 1 다시 25M번을세어 ... Vhdl를 이용한 코드 출력 결과 디지털 시계 이론적 배경 Vhdl를 이용해 디지털 시계를 구성하기 위해 component 문을 활용하여 설계를 하게 되었다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 한글파일 VHDL_5_Digital Watch, 1초 생성기, 60진24진 카운터, MUX, FND decoder
    결과를 FND에 출력하기 위한 out 포트들 선언. 20~58) 이전에 설계한 vhdl을 component로 사용하기 위해 선언함. 60~71) 선언된 컴포넌트 들을 연결해줄 신호들을 ... 주제 배경 이론 DE2 보드는 클럭으로 50MHz를 사용한다. 이것은 1초에 50,000,000번 진동한다는 뜻이다. ... DE2 보드는 50MHz이므로 이것은 주기가 1초인 클럭 펄스임 실습제목: 60진/24진 카운터 1.
    리포트 | 22페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 한글파일 Mux&Decoder2차레포트 디지털회로설계
    , VHDL (5) FND Decoder VHDL 을 Schematic과 VHDL 설계하고 DE2 보드로 작동하기 제 3장 요약 및 결론 레포터의 목적 (1) 1비트 2x1 Mux ... Schematic , VHDL (5) FND Decoder VHDL 을 이용하여 구동하고 구현한 회로를 DE2보드를 사용하여 동작 결과 확인하기 논리게이트를 사용한 흐름제어 예를 ... 배웠기에 VHDL RTL viewer 결과만을 보고서에 첨부하였다 아쉽게도 앞부분에 있던 실습은 de2보드를 통해 작동을 확인하였지만, 나의 큰 실수로 확인 할 수 있는 동작 확인
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 파일확장자 FPGA 카운터 & 상태머신
    DE2보드 실습결과에 대한 토의위 사진은 리셋을 0인 상태에서 s0인 상태가 되는데 입력값 을 0->1-> 0을 주면 s2인 상태가 된다.
    리포트 | 9페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 한글파일 Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    다양한 HDL이 존재하지만, verilog hdl 과 VHDL이 FPGA과 함께 널리 쓰인다. ... -Test bench test bench 란 VHDL을 이용하여 서술한 하드웨어의 정상동작을 확인하기 위한 검증환경이다. 실제환경에서, 그들은 충분하지 않다. ... -Field Programmable Gate Array(FPGA) board의 용도 및 기능을 파악하고 설계한 Digital IC를 검증하는 방법을 익힌다. -1-bitFullAdder와
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • 워드파일 SoC 보고서 - 4.8051
    ROM에는 이미 작성된 hex파일을 넣어서 동작하도록 한다. 8051 VHDL코드는 그림1-D-3에서 볼 수 있듯이 다수의 VHDL코드를 연동해서 작성한 것으로 상위 계층과 하위계층으로 ... 실습보드 적용 결과 ----------------------------- p.28 5. ... 코드 2-B-2 RAM 신호 및 컴포넌트 RAM의VHDL 코드임. 이미 설계된 것을 몇가지 설정을 거쳐서 그대로 가져와서 쓰는 것임.
    리포트 | 30페이지 | 2,500원 | 등록일 2021.09.23
  • 파일확장자 FPGA 16스위치에서 7세그먼트출력 & 4비트가산기에서 7비트세그먼트출력
    a값을 procedure에 keycode를 사용해서 변환시키고 package의 출력값 y_out을 function에 dis_seg를 사용해서 변환시켜서 대입해서 나온 파형이다.DE2보드
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 한글파일 디시설 - 기본적인 디지털 논리회로 설계
    Timing은 functional로 정상동작 하는 것을 확인하고 나서 실제 보드의 특성과 구현한 로직에 따라 동작하는지 확인하는 방법으로 로직과 타이밍을 고려해야 하기 때문에 functional에 ... 프로그래밍과 VHDL 코드의 차이를 잘 몰랐다. ... 기본 논리 게이트로 구성된 회로를 Schematic과 VHDL로 각각 설계하여 시뮬레이션을 한 후 주어진 논리회로에 대해 미리 작성한 진리표와 비교함으로써 Schematic과 VHDL
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 연세대 전기전자공학부 20-2학기 기초디지털실험 6주차 예비보고서
    Using HDL(Hardware Design Language) like Verilog and VHDL, users are able to design the user logic. ... Figure 1 Diagram of SoC For this experiment, we are going to use Zynq chip in the PYNQ-Z2 board as SoC ... strong in the consumer electronic devices like tablets, smartphone and personal computers called single board
    리포트 | 3페이지 | 1,000원 | 등록일 2021.04.15 | 수정일 2021.10.06
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    실험 장치 Laptop - ISE Digital Design Tool (Version - 14.7) HBE-ComboⅡ-SE Board (included - Xilinx Spartan3 ... 배경 이론 Verilog HDL과 VHDL의 장단점 (1) HDL (Hardware Description Language) 먼저 Verilog HDL과 VHDL을 포함하는 HDL에 ... 즉, HDL은 소프트웨어의 동작을 명령하는 소프트웨어 언어인 C, C++, JAVA과 하는 역할이 다르다. (2) Verilog HDL과 VHDL Verilog HDL과 VHDL
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업