• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,954)
  • 리포트(4,617)
  • 논문(146)
  • 시험자료(112)
  • 방송통신대(35)
  • 자기소개서(21)
  • 서식(11)
  • ppt테마(8)
  • 이력서(4)

"i-mode" 검색결과 141-160 / 4,954건

  • 한글파일 MOSFET 증폭기 아날로그 실험 결과 레포트 (A+)
    mode 2) when Vgs = 3V Vgs > Vth 항상 만족 Vds < 0.9V triode mode Vds > 0.9V saturation mode 5.실습내용 2-1의 값을 ... I _{D} = {1} over {2} mu _{nC _{ox}} {W} over {L} (V _{gs} -V _{th} ) ^{2} =Square-lawMOSFET에서 Drain ... 즉 I_D = 1over2 mu_nC_ox W overL (V_gs - V_th )^2 (1+lambda V_DS )비율을 구하면 Id1 overId2 = {(Vgs2-Vth)^2
    리포트 | 7페이지 | 2,500원 | 등록일 2021.02.05
  • 한글파일 전기회로설계실습 중앙대 9. LPF와 HPF 설계
    출력파형인 v_o는 v_c와 같고 이는 V _{c`} =` {V _{i}} over {sqrt {(w {} RC) ^{2} +1}} e ^{j(- phi-90 ^{o} )}로 나타낼 ... V _{L} = {V _{i}} over {sqrt {1+( {R} over {wL} ) ^{2}}} 이므로 V _{L`} =`0.53V 이다. ... (f) 입력과 출력전압을 XY mode로 보면 어떤 모양이 나오겠는가?
    리포트 | 7페이지 | 1,000원 | 등록일 2020.05.21
  • 워드파일 분석화학 실험 STM
    거리를 유지한 채 전류의 변화를 측정하는 constant-height mode와 거리를 조절하면서 전류를 일정하게 유지하는 constant-current mode가 있다. ... Bare gold 샘플의 I-V 그래프 그림5. Alkanethiol SAM 샘플의 I-V 그래프 그림6. ... 그 후 tip current-distance spectroscopy와 voltage spectroscopy로 각 샘플의 I(v)와 I(z)를 측정하였다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.12.14
  • 파일확장자 텔레비전 어린이 만화에 나타난 국어사용의 문제점: 지상파 방송 3사 프로그램을 중심으로
    non-words (i.e. nonce words), grammatical violations, and the use of lexis inappropriate for the target ... This research explores the linguistic modes of animated cartoon programs focusing on such areas as program ... current state of affairs in the broadcasting industry: the separation of production and broadcasting (i.e
    논문 | 25페이지 | 6,300원 | 등록일 2023.04.05 | 수정일 2023.04.06
  • 워드파일 [에리카A+] 마이크로프로세서응용 Project 상위권
    Voltmeter의 변수들 BYTE ADC_L = 0x00; BYTE ADC_H = 0x00; BYTE dis_Volt[3]; void mode_Active(BYTE); BYTE i ... 첫번째 라인, 두번째 라인 주소 #define LINE1 0x00 // LCD_DISPLAY_LINE1 #define LINE2 0x40 // LCD_DISPLAY_LINE2 // I/ ... 프로그램 진행 중에 처음에 위와 같은 mode 1과 mode 2를 거치고서 그 다음부터는 mode 1와 mode 2로 돌아오지 않는다.
    리포트 | 27페이지 | 7,900원 | 등록일 2020.04.02 | 수정일 2020.08.26
  • 파일확장자 The Use of Incey in Conversation: Enhancing Addressee Involvement and Managing Boundary-Marking
    of incey-prefaced talk, i.e., the tendency of incey to preface materials which are still prefatory to ... Such a formulation, often signaling a shift toward an expressive mode of telling, provides a context ... 한국사회언어학회 사회언어학 Kyu-hyun Kim, Kyung-Hee Suh
    논문 | 32페이지 | 7,800원 | 등록일 2023.04.05 | 수정일 2023.04.06
  • 한글파일 (A+성인간호학케이스) ICU-NCU Ischemic stroke 허혈성 뇌졸중 case study 간호진단 5개, 간호과정 2개 포함
    2022.03.15.부터 L-tube를 삽입하고 금식 유지중이었다가 21일(HOD#6)부터 경관식으로 영양공급중임. ? I/O 3/28 3/29 I/O ... 2022.03.15.부터 endotracheal tube로 ventilator 적용중이며, 응급실 내원 당시 SIMV mode로 적용하다가 16일에 NCUB로 전실 후 ASV mode ... (Endotracheal tube size: 7.5mm, 삽입길이: 치아 25cm) 3/16 NCUB로 전실 후 ventilator ASV mode로 적용함.
    리포트 | 11페이지 | 2,500원 | 등록일 2023.01.26
  • 한글파일 내과중환자실 사전학습 보고서 (MICU사전학습보고서)
    인공환기기 (적응증, Mode, 관리) 1) 적응증 2) Ventilator Mode 3) Ventilator Setting 값 1. ... 불어 넣어 줌 - 장점: 과도한 압력으로 인한 폐손상 방지 - 단점: 제공되는 TV값이 매번 달라져서 잘못하면 저환기 발생 - setting: PC/FiO2/RR/PEEP/I:E ... 인한 폐손상을 발생 - Setting: TV/FiO2/RR/PEEP/I:E Ratio - Monitering: 환자의 self RR, TV, PIP(peak pressure) SIMV
    리포트 | 5페이지 | 2,000원 | 등록일 2023.02.23
  • 한글파일 심장내과 daily case report
    -시술 부위 피부 사정 9) 식이 Regular(fair) Regular(fair) 10) I/O I: 1650 O: 1250cc/Sx0, SV*1 I: 1600 O: 1250cc/ ... -20-37 - Pacemaker(PPM DDD mode 50bpm) - 네오 Dx - IV route - ID band - Monitor(Telemetry) - Pacemaker ... PPM DDD mode: 50bpm 유지 중, 특이 알람 관찰되지 않음 -OP site 만져보니 열감 있음 -PPM 교육위해 심장내과 외래 다녀옴 -telemetry 유지중이며 HR
    리포트 | 6페이지 | 2,500원 | 등록일 2024.01.27
  • 한글파일 아주대학교 전자회로2 / 전회2 / 설계과제 2
    V _{i`n1} -V _{i`n2} =470.97m-469.02m= 약 2mV를 얻었다. 이어서 측정한 Output 전압은 상단 오른쪽과 같다. ... L} LEFT ( V _{GS} -V _{TH,} RIGHT ) (1+ lambda _{p} V _{DS} )= {2I _{D}} over {V _{GS} -V _{TH}} 식에 따라 ... } C _{ox} {W} over {L} LEFT ( V _{GS} -V _{TH} RIGHT ) ^{2}} = {1} over {I _{D}} LEFT ( {1} over {lambda
    리포트 | 5페이지 | 2,000원 | 등록일 2021.08.18
  • 한글파일 전자회로실험 결과보고서 BJT 특성
    입력전압이 2.5V 이후에는 이론적으로는 I _{C}의 값이 거의 일정하게 유지되어야 하는데 이는 Active mode에서 동작하며, I _{C}는 V _{CE}의 영향을 받지 않기 ... 제조사가 제공한 특성 곡선은 이상적인 결과 값으로 나타나기 때문에 Active mode에서 동작할 때 V _{CE}의 증가와 무관하게 I _{C}값이 일정하다. ... 과정을 보여라. beta = {delta`I _{C}} over {delta`I _{B}} = {7.11 TIMES 10 ^{-3} -3.78 TIMES 10 ^{-3}} over
    리포트 | 6페이지 | 3,000원 | 등록일 2019.10.03
  • 한글파일 [연세대학교 물리학과 물리학실험(A-1)] 5번 실험 결과레포트 (연세대학교 물리학과 전공필수 실험과목)
    I _{C} =I _{S} (e ^{( {V _{BE}} over {kT/q} )} -1) 3. ... of the Function Generator for the Common-mode Gain Experiment > < Output Voltage of the Common-mode ... Also, we can find common-mode gain and differential gain.
    리포트 | 12페이지 | 5,000원 | 등록일 2019.07.23
  • 한글파일 A+맞은 차동증폭기 설계, 커런트 미러 결과 레포트
    I _{B}=0, V _{A}= INF ,Q4도 active mode에 있다고 생각한다. ... 2.3 2.283 2.461 1.1 3.081 3.324 2.4 2.202 2.317 1.2 3.016 3.266 2.5 2.135 2.257 1.3 2.988 3.25 Common-Mode에서 ... Microelectronics(2nd edition), 10장 Ⅰ.예비 레포트 우선 Q3와 Q4의 Collector 전류의 관계를 비교하면 Q3는 Diode conneted로 항상 active mode이다
    리포트 | 13페이지 | 2,500원 | 등록일 2021.05.01
  • 파워포인트파일 cdp mac 공격 원리 및 실습 자료
    자신의 정보를 다른 장비에게 알림 SW01 SW02 Kali Linux f1/0 f1/0 f1/8 R1 f1/2 f0/0 R1 f0/0 CDP flooding 공격 #yersinia -I ... mode access switchport port-security switchport port-security maximum 5 switchport port-security violation ... Static Port-Security 관리자가 직접 MAC 주소를 저장하여 해당 호스트 외에는 통신을 못하게 막는 방법입니다 . int fa0/0 switchport mode access
    리포트 | 6페이지 | 2,000원 | 등록일 2022.05.23
  • 파일확장자 게임과 아우라
    From the three characteristics of games,i.e., presence, interactivity, and multi-sensory interface, it ... This brought about a paradigm shift in the entire mode of existence of humanity. ... The qualities of immersion, interactivity, and a multi-sensory interface provide a world of illusion,
    논문 | 6페이지 | 4,000원 | 등록일 2023.04.05 | 수정일 2023.04.06
  • 한글파일 [아날로그 및 디지털 회로 설계실습] 결과보고서(과제)2
    Switching Mode Power Supply (SMPS) 과제 1-1. 위의 회로에서 가변저항 값이 6 k ohm 일 때, 시정수 tau 의 값을 구하시오. ... 다이오드에 걸리는 전압 : V _{F} =V _{i} -(-V _{o} )=V _{i} +V _{o} 2) N-MOS Switch가 OFF 위의 회로와 같이 다이오드 On, 그리고 ... 그러므로 인덕터에 걸리는 전압은 V _{L`} `=`V _{i} 이다. 1번 노드에 + V _{i}의 전압이 2번 노드에 -V _{o}의 전압이 인가된다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.09.14
  • 워드파일 [전기회로설계실습]실습8(인덕터 및 RL회로의 과도응답(Transient Response))_예비보고서
    (i) τ=L/R=10㎲=10mH/R (i) ∴R=1kΩ (ii) T/2동안 5τ=50㎲ 정도의 시간이 흐르는 주파수가 적당하다. ... (수평축은 10 DIV, 수직축은 8 DIV로 나뉘어져 있다, trigger mode, trigger source, coupling(AC? or DC?) ... Trigger mode: AUTO Trigger source: CH2(인덕터전압 범위로 설정) coupling: DC 3.3 Function generator출력(CH1)과 저항전압
    리포트 | 5페이지 | 1,000원 | 등록일 2022.04.11
  • 파일확장자 동전모양 균열이 존재하는 이상복합체의 에너지해방율 산정
    또한 수치해석된 결과치를 무한판 실린더의 응력확대계수에 대한 정해와 비교하여 본 해석의 신뢰성을 확보하였다 The mixed mode problem (I and II) of a peny-shaped ... 동전모양의 균열이 이상복합 실린더 계면에 존재하는 혼합모드 조건(I, II)에 대해 유한요소법을 사용하여 에너지해방율을 구하였다. ... 반면, 모드 I의 무차원 에너지해방율은 탄성비가 증가하면서 그 값이 감소하며, 두재료의 탄성비가 3 이상인 경우에 균열길이가 증가되면서 무차원 에너지해방율이 감소하다가 다시 증가하게
    논문 | 10페이지 | 4,000원 | 등록일 2023.04.05
  • 워드파일 신생아기계환기,vent,신규간호사교육자로,nicu
    않으려면 E time설정 유의 - 흡기 시에는 기도도 넓어지면서 저항이 감소하므로 시간 상수는 호기의 50% (I:E를 1:2로 잡는 이유 중 하나) - 호기시간이 짧으면? ... 설정된 흡기, 호흡 호흡량만큼 Volume을 줌 - PIP는 변동 Servo i PRVC - Pressure regulated volume control - Pressure limited ... Pressure limited mode - PEEP보다 5cmH2O 높은 압력으로 환기를 시작 - 목표환기량을 설정하고 PIP max값 설정으로 Pressure 제한 - 직전 8~10회
    리포트 | 10페이지 | 2,500원 | 등록일 2023.06.12
  • 한글파일 [전기회로설계실습] 설계 실습 9. LPF와 HPF 설계
    100`=`-3.2%오차 원인으로는 XY MODE CURSOR 기능의 부정확성이라고 판단된다. ... =` {V _{i}} over {sqrt {( {R} over {wL} ) ^{2} +1}} `=` {500mV} over {sqrt {[( {1.02kΩ} over {2 pi f ... XY mode로 관찰한 파형 저장, 제출하고 이론적 근거를 제시하라.
    리포트 | 9페이지 | 1,500원 | 등록일 2023.08.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업