• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,954)
  • 리포트(4,617)
  • 논문(146)
  • 시험자료(112)
  • 방송통신대(35)
  • 자기소개서(21)
  • 서식(11)
  • ppt테마(8)
  • 이력서(4)

"i-mode" 검색결과 81-100 / 4,954건

  • 한글파일 학점A+받는 영남이공대학 전자계열 마이크로컴퓨터 [Oscillator Module]
    EC - External clock with I/O on OSC2/CLKOUT.: 외부에서 클락 회로를 만들어서 1, 0 , 1, 0 신호를 RA6번 핀에 공급을 하면 동작을 합니다 ... LP - 32 kHz Low-Power Crystal mode.: 32kHz, IC내부에 들어있는 증폭기의 증폭도가 낮습니다. ... Explain the function of “External Mode”.- External Clock modes들은 외부회로에 의존합니다.EC mode는 외부전자회로를 사용하고 LP
    리포트 | 10페이지 | 3,000원 | 등록일 2020.11.01
  • 파일확장자 개질재.보강재를 이용한 덧씌우기 아스팔트 포장의 반사균열 지연 효과
    본 연구의 시험 결과로부터 특정 조합의 아스팔트 혼합물이 휨 파괴(Mode I)에 의한 반사균열 지연에 상당히 효과적인 것으로 나타났다. ... From the test results. a significant retardation of mode I crack progress was monitored from some of ... This study was performed to evaluate performance of polymer-modified asphalt mixtures and specially designed
    논문 | 12페이지 | 4,300원 | 등록일 2023.09.25
  • 한글파일 [A+][중앙대학교 전기회로설계실습] 실습9 LPF와HPF설계예비보고서
    (a) (b) ⇒ V _{c} = {V _{i}} over {sqrt {(wCR) ^{2} +1}} e ^{j(- phi -90 DEG )} 이고 1V 정현파를 인가했으므로 출력의 ... (a) (b) ⇒ V _{L} = {V _{i}} over {sqrt {1+( {R} over {wL} ) ^{2}}} e ^{j(- phi -90 DEG )} 이고 1V 정현파를 ... (e) 입력과 출력전압을 XY mode로 보려면 오실로스코프를 어떻게 설정해야 하는가? (f) 입력과 출력전압을 XY mode로 보면 어떤 모양이 나오겠는가?
    리포트 | 7페이지 | 1,000원 | 등록일 2021.09.09
  • 워드파일 신생아의 환기 치료(ventilator care)
    Commonly Used Neonatal Positive-Pressure Ventilators Bird V.I.P. ... Exhalation assist Manual breath Pressure support modes High-frequency modes (e.g., Drager Babylog 8000 ... Infant/Pediatric Ventilator Bird V.I.P.
    리포트 | 25페이지 | 3,000원 | 등록일 2021.03.25
  • 파일확장자 Fluctuation of Solid-Liquid Interface of Faceted Phase and Nonfaceted Phase by Periodic Temperature Variation
    materials characteristics, i.e. faceted phase or nonfaceted phase, the moving solid-liquid interface ... The solid-liquid interface of the nonfaceted phase is atomically rough; it migrates in continuous mode ... naphthalene, one of the faceted phases, for which the solidliquid interface migrates in “stop and go” mode
    논문 | 5페이지 | 4,000원 | 등록일 2023.04.05
  • 한글파일 (A+) 전자회로실험 차동 증폭기 회로 예비레포트 / 결과보고서
    Freq= 10 kHz, v _{i,p-p}= 20 mV로 하고, 두 주기의 입출력 파형이 출력되도록 설정하시오. Run to time = 2 TIMES Period . ... 또한, 회로의 Schematic과 차동모드(Differential-mode)와 동상모드(Comnon-mode)에서 각각 입력-출력전압들(vi+, vi-, vo1, vo2)의 파형을 ... vi-, vo1, vo2) Schematic(Common mode) Common Mode(vi+, vi-, vo1, vo2) Reference [Fundamentals of Microelectronics
    리포트 | 11페이지 | 1,500원 | 등록일 2021.01.10
  • 워드파일 서울시립대학교 전전설2 6주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    bit counter Test bench Simulation 결과 Pin 연결 - 실험결과 (load, enable, mode / data) i) up counter Load : 0 ... 매우 간단하게 integer i라는 변수를 선언해서 clk신호를 count해주었다. ... 총 1/10으로 주기를 변경해주어야 하므로, 원래 주기의 절반이 왔을 때 반전이 되도록 if(i == 5)라는 조건문을 설정해주었다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 워드파일 기초회로실험2 프리레포트 Lab 1. Zener Diodes & Voltage Bias Control
    Zener Diodes & Voltage Bias Control Zener Diode V-I Characteristics Reverse-bias mode Zener Diode V-I ... Characteristics Forward-bias mode Zener-diode voltage control 2017-1학기_기초회로실험Ⅱ PAGE \* MERGEFORMAT2
    리포트 | 2페이지 | 2,000원 | 등록일 2019.08.01
  • 한글파일 입력 DC 12V를 출력 4.0V/2A로 변환하는 벅 컨버터를 설계하시오.
    이 때, t _{1} = {TRIANGLE I``L} over {Vs-Vo}이다. ( TRIANGLE I=I _{2} -I _{1}) ② Mode2 : Switch OFF, D ON ... Mode2에서의 회로 _{2} = {TRIANGLE I``L} over {Vo}이다. ( TRIANGLE I=I _{2} -I _{1}) ③ 전류 리플 유도 T`=`t _{1} +t ... Mode1에서의 회로 Vs`=`L {di} over {dt} +Vo,```i(t)`=`I _{1} + {(Vs-Vo)} over {L} tMode1에서의 t는 t _{1}이며, I
    리포트 | 11페이지 | 2,000원 | 등록일 2019.08.29
  • 파일확장자 주기하중을 받는 비보강 조적벽체의 강체회전거동
    rocking failure were captured, focusing on observed deformation modes. ... The rocking strengths of masonry walls (i.e., peak and residual strengths) were evaluated, and the effects ... Based on the benchmark tests, the characteristics of load-deformation relations in masonry walls with
    논문 | 9페이지 | 4,000원 | 등록일 2023.04.03
  • 워드파일 [영문에세이] 영어공부경험와 영어학습이론
    But learning mode I would like to adopt, Visual learning, majorly Visual-Spatial Intelligence and some ... In my experience with other classes, I would add another learning mode to make this class more effective ... For example, I could ask students to speak their stories or experience -fiction or not- related to the
    리포트 | 3페이지 | 2,000원 | 등록일 2021.06.23 | 수정일 2021.07.02
  • 워드파일 우분투를 활용한 리눅스 C 채팅 프로그램
    = NULL) { for (int i = 0; i < 10; i++) { if (i ! ... ; int rear = -1; int main() { int mode; int count = 0; int th_id; Message buff; pthread_t th_send; struct ... Client: "); scanf("%d", &mode); getchar(); if (mode == 1) { pthread_t th_recv[10]; msgbuff = (Message
    리포트 | 11페이지 | 2,000원 | 등록일 2022.01.05
  • 한글파일 실험4 Digital Clock 프로젝트 보고서
    , 0); if(down_s==0&&down_m==0) { TIMSK=0x00; for(i=0; i9) { FND_CNT=0; } sw2=0; } FND_NEW[FND_SEL_CNT ... 평가기준 - 주어진 회로와 코드를 바탕으로 제작을 하며 동작을 확인한다. - 코드를 분석하여 사용된 기능들과 동작 방식을 이해하고 Mode 0 ~ Mode 3의 동작을 설명할 수 있어야 ... FND_CNT=0; unsigned char al_min=0, al_sec_u=0, al_sec_l=0, al_msec_u=0, al_msec_l=0; unsigned char i=
    리포트 | 25페이지 | 5,000원 | 등록일 2020.01.02 | 수정일 2021.12.01
  • 파워포인트파일 간호대학원, 간호학과 상급가정간호, 성인간호 중 인공호흡기 주제의 강의자료
    흡기와 호기 비율 I:E ratio - 흡기와 호기 시간의 비율입니다 . ... No patient Effort / Apnea : 무호흡 알람 , 자발호흡 mode 인 CPAP 에서 Apnea 알람 지속시 Backup mode 로 전환되는 경우가 많음 . - 의식 ... (CMV mode 에 Asist 가 적용되는 mode 임 .
    리포트 | 21페이지 | 4,500원 | 등록일 2024.04.13
  • 워드파일 STM 예비보고서
    STM 관측 모드 STM은 constant-height mode, constant-current mode 총 두 가지 관측 모드가 있다. (1) constant-height mode ... mode 탐침의 피드백 회로에 흐르는 전류가 일정하게 유지되는 모드를 말하며 탐침의 수직 변위는 샘플의 표면을 따라 움직인다. constant-current mode는 constant-height ... Solid State Physics, Solid State Device and Electronics, NEW AGE INTERNATIONAL PUBLISHERS [3] Richard I.
    리포트 | 3페이지 | 1,500원 | 등록일 2023.04.05
  • 한글파일 [아날로그 및 디지털 회로 설계실습] 예비보고서2
    목적 SMPS(Switching Mode Power Supply)의 동작 원리와 회로 모듈을 이해하여 SMPS를 설계할 수 있는 능력을 배양한다. 2. ... Switching Mode Power Supply (SMPS) 실습날짜 2021.09.20. 17시 교과목 번호 제출기한 2021.09.19. 24시 작성자 제출날짜(이클래스) 2021.09.17 ... V_{ i}DT _{ s}=(V _{ o}-V _{ i} )(1-D)T _{ s} (이론부 식 5-10) 이를 정리하면 V_{ o}= { 1} over { 1-D}V _{ i} 이고
    리포트 | 6페이지 | 1,500원 | 등록일 2022.09.14
  • 한글파일 성인간호학실습 케이스스터디 (교통사고 Traffic Accident) [간호진단 및 간호과정 4개]
    3000 unit heter insert를 Rt. femoral vein에 VV mode start 예정이었으나 guiding 안되어 VA mode로 변경함. - 16:40 ECMO ... (by RN) - 12/4 mode A/C, FiO2 1.0, RR(setting) 14, T.V(setting) 400, PEEP 8 시행 - 12/4 21:40 sedation ... 잠시 중단하였을 때, ventilator fighting 간간히 왔고, PSI 88% 측정됨. - 12/5 ventilator mode PCV로 적용함. - 12/6 ventilator
    리포트 | 31페이지 | 2,000원 | 등록일 2021.03.28
  • 한글파일 IR-Polymer Characterization by IR Spectroscopy
    에 대한 흡광 물질이 존재할 때의 빛의 강도(I), 즉 T=I/I0 로 표시되기 때문에 빛의 통과 은 항상 1보다 작다.) ... (c) 진동의 방식, The modes of vibration : 진동을 하는 방식을 각각 Mode라고 한다. ... 각각의 분자는 여러 mode를 가지고 있으며, 진동을 하면서 쌍극자 모멘텀이 변해서?적외선을 흡수하는 mode를?infrared active하다고 한다.
    리포트 | 4페이지 | 3,000원 | 등록일 2020.12.09
  • 워드파일 Intubation ,Vnetilator (기관내삽관 방법, 준비물/ 인공호흡기 용어정리, 모드, 적응증, 간호중재)
    자발 호흡하에 흡기, 호기 모두 양압이 적용, PS mode와 거의 유사하며, PS mode에서 P-support 값을 0으로 설정하는 경우 CPAP mode가 됨. ... 호기말양압 호흡이라고 하며, Ventilation(환기)시 환자의 기도 내에 양압을 불어넣어 폐의 가스교환을 원활하게 해주고 폐포의 찌그러짐을 예방하며 폐의 기능적 잔기량을 증가시킴 I: ... 일반적인 Setting값은 1:2로 하며, 흡기, 호기시에 각각 소요되는 시간의 비율로, 유속, 호흡한 횟수와의 관계에 의해서 결정. ※ Example) RR 20회 setting에 I:
    리포트 | 6페이지 | 4,000원 | 등록일 2020.06.22
  • 파일확장자 탄소섬유 복합재료의 모드1 및 모드 2 층간파괴인성치에 관한 연구
    In this paper to investigate mode I and mode II critical energy release rates, G sub(IC) and G sub(IIC ... Those are used for the unidirectional composites, but only one is used for the cross-ply laminate composites ... 강도가 각각 다른 세 종류의 프리프레그를 사용하여 일방향 CFRP를 적층하였으며, 모드 I과 모드 II 실험을 통하여 층간 파괴인성치를 고찰하였고, 또한 적층 섬유방향을 변화시킨 사교적층판의
    논문 | 7페이지 | 4,000원 | 등록일 2023.04.05
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업