• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,954)
  • 리포트(4,617)
  • 논문(146)
  • 시험자료(112)
  • 방송통신대(35)
  • 자기소개서(21)
  • 서식(11)
  • ppt테마(8)
  • 이력서(4)

"i-mode" 검색결과 181-200 / 4,954건

  • 한글파일 항공대학교 응용공학실험 외팔보의 진동 모드 및 공진 실험 레포트 A+
    I ) I= {1} over {12} bh ^{3}=4.05130 TIMES10 ^{-12} m ^{4} 탄성계수 ( E ) 68.9GPa 밀도 ( rho ) 2700 kg/m ^{ ... I. 서론 1. 실험 개요 및 목적 구조물에서 하중을 담당하는 1차원 부재의 대부분은 보(Beam)이다. ... 이론 데이터 Mode 1 Mode 2 Mode 3 k= beta l``(rad)1.8751 4.6941 7.8548 측정한 l=0.425m를 이용해 모드 형상 계수 beta 값을 구할
    리포트 | 31페이지 | 2,000원 | 등록일 2020.12.16 | 수정일 2024.04.24
  • 한글파일 [고분자공학실험및설계] 전체 실험 레포트 - 4가지
    - Absorbance(흡광도)`:`A`=`-logT`=`K` AST c Transmittance(투과도)``:`T`=`10 ^{-A}, T`=`I _{t`} `/I _{0} `` ... AFM의 Mode로는 접촉모드(contact mode), 비접촉모드(non-contact mode), 두드림모드(tapping mode)가 도가 낮아 표면에 흠이 많음을 볼 수 있다 ... `E`(eolvent} -(E _{onsetred} -E _{1/2(solvent)} ]eV# ```````````````````````````=`[-4.8-(-0.94-0.47)
    리포트 | 12페이지 | 2,500원 | 등록일 2021.12.21
  • 한글파일 기계 환기 환자 간호
    : TV, RR, PEEP, I:E, FiO2를 설정 APV SIMV mode : TV, RR, PEEP, PS, Ti, FiO2를 설정 7) NIV ? ... 기계 환기 구성 Mode 1) CMV mode ? ... E, FiO2를 설정 2)SIMV mode ?
    리포트 | 6페이지 | 1,000원 | 등록일 2019.06.01
  • 한글파일 전기회로설계실습 예비보고서 2 전원 DMM의 내부저항 측정 장치 설계
    I = V0 / 22㏁ 4. R = (V-V0) / I 3.7 DMM으로 교류전압(110V, 220V)을 측정하려 한다. DMM의 조작방법과 연결도를 설계 하여 제출하라. ... (공통기준보다 더 낮은 전압이므로) 결국 출력은 5V, 출력은 -10V가 된다는 것을 알 수 있다. 3.6 DMM을 전압측정 mode에 놓았을 때 DMM의 내부저항을 측정하는 회로와 ... DMM을 전압 측정 mode에 놓는다.(측정범위는 V로 한다) 2. 220V교류전원과 저항을 연결한다. 3. 이 저항에 걸리는 전압을 측정한다 4.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.02 | 수정일 2021.09.23
  • 한글파일 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 switching mode power supply SMPS 과제 3주차
    THEREFORE V _{L} =V _{i} `# ```````V _{F} =-V _{o} -V _{i} 2)NMOS가 Off인 경우 다이오드는 On이 된다. ... 아날로그 및 디지털회로 설계 실습 3주차 과제: Switching Mode Power Supply (SMPS) 문제 1 1. ... V _{L} +V _{F} +V _{o} =0`(KVL)# THEREFORE V _{L} =-V _{o} 다이오드 on이므로 V _{F} =0(V)
    리포트 | 3페이지 | 1,000원 | 등록일 2021.06.28
  • 한글파일 A+ 광통신 - 13. PIN 포토다이오드와 APD
    PIN 포토다이오드 포토다이오드는 아래 그림의 전류-전압 특성에서 볼 수 있듯이 광기전력 모드(photovoltaic mode)와 광전도 모드(photoconductive mode) ... 높은 양자효율을 얻기 위해서 표면에 반사방지막을 코팅하여 반사계수를 가능한 한 작게 하고, i-층의 두께를 가능한 한 크게 하여 입사된 빛이 i-영역에서 모두 흡수될 수 있도록 제작한다 ... 갖는 빛이 입사되면, 그 대부분이 i-층에서 흡수되어 전자-정공 쌍이 발생하고, 이 전자와 정공은 공핍 층으로 이동하여 전류에 기여하게 된다.
    리포트 | 8페이지 | 1,500원 | 등록일 2024.02.05
  • 한글파일 성인_상악동암_간호과정3_체액 불균형 위험성_가스교환장애_감염위험성
    , round Ventilator: -mode : CMV -VT : 360 -Rate(/min) : 15 -FiO2 : 50 % -PEEP : 5 -I:E ratio : 1:1.5 ... : CMV -VT : 360 -Rate(/min) : 15 -FiO2 : 50 % -PEEP : 5 -I:E ratio : 1:1.5 ABR SpO2 : 100% GCS: Eye ... : 4, verbal: T motor: 6 Pupil both : P3, round Ventilator: -mode : CMV -VT : 360 -Rate(/min) : 15 -FiO2
    리포트 | 29페이지 | 1,500원 | 등록일 2023.04.14 | 수정일 2023.04.20
  • 한글파일 전기회로설계실습 9. LPF와 HPF 설계 결과보고서
    V _{R} = {1} over {sqrt {1+( {1} over {wRC} ) ^{2}}} V _{i}식에 의해 이론값을 구해보면 607.19mV가 나오게 된다. ... V _{R} = {1} over {sqrt {1+( {wL} over {R} ) ^{2}}} V _{i}식에 의해 이론값을 구해보면 857.6mV가 나오게 된다. ... 이론적으로 위상차를 구해보면 PHI _{C} =-tan ^{-1} (- {1} over {wRC} )-90에 의해 -36.12°정도가 된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.07.08
  • 한글파일 물리학실험 역학적에너지보존(구의강체운동 예비레포트)
    -삼각함수를 계산할 때 계산기의 mode가 radian인지 degree인지 주의해야한다. ... I= {2} over {5} mr ^{2}이며 v=rw이다. ... 직선운동에너지와 회전운동에너지가 존재하므로 운동에너지 E _{K}는 E _{K} = {1} over {2} mv ^{2} + {1} over {2} Iw ^{2}여기서 구의 관성모멘트 I는
    리포트 | 4페이지 | 1,000원 | 등록일 2022.01.23 | 수정일 2022.01.27
  • 한글파일 전자회로실험 MOSFET의 특성 실험 예비레포트
    MOSFET는 단지 증가모드로만 동작하고 공핍모드로는 동작하지 않는다 공핍형과 달리 인위적으로 물리적인 채널을 만들지 않는다는 것이 다르다 게이트전압에 의해서만 채널이 확보되므로 증가MODE만 ... _{DS``와} I _{D} 관계를 그래프로 도시한다 공핍형 MOSFET 전달특성곡선 시뮬레이션 조건 * D-MOSFET 모델명: MOS_3TDN * V _{DD}=18V , R _ ... * R _{D}=620 OMEGA * V _{GS}에 변화에 따른 I _{D}의 변화를 그래프로 도시한다 증가형 MOSFET 전달특성곡선 시뮬레이션 조건 * E-MOSFET 모델명
    리포트 | 6페이지 | 2,500원 | 등록일 2022.10.05
  • 워드파일 전자전기컴퓨터설계실험2(전전설2) 계산기 프로젝트 팩토리얼 및 quiz mode 포함
    tena,oneQ; //op에 의한 연산결과의 //천의자리, 백의자리, 십의자리, 일의자리 reg sig; // 연산결과의 부호 integer k; integer n; integer i; ... ; output sound; wire sound; reg r; reg buffer; // game_mode에서 소리나오게 하기 위함. // game_mode on/off input ... LCD DATA를 확인해보면 숫자에 관한 부분은 앞부분은 0011로 고정되고 뒷부분이 +1이 되거나 -1이 될 경우마다 숫자가 up/down을 하게 된다.
    리포트 | 35페이지 | 20,000원 | 등록일 2020.12.22
  • 워드파일 [영문에세이/essay] 데이터분석 및 의사결정/ 호텔 및 민박 관광정보 관련 논문 과제물입니다.
    For the calculation of mode will be €36.93 for hotel and €28.13, €47.13, €31.13 for B&B. ... Therefore we will calculate median and mode to draft out the distribution for better understanding of ... Lai **** *** (18207211) The following describes what I have contributed to the group project: I am tasked
    리포트 | 28페이지 | 5,000원 | 등록일 2022.02.03 | 수정일 2022.02.09
  • 한글파일 전전컴설계1 1주차 결과레포트
    -2V 4.8% v3 2.9877V 3V 0.41% i1 -1.02107mA -1mA 2.1% [실험순서를 다르게 하여 조교님의 허락으로 날짜 인증 네이버 헤드라인 사진을 (다) ... 0.2mA 0.035% 다) 아래의 회로에서 전압 v1, v2, v3와 전류 i1을 측정 측정 사진 측정값 이론값 오차율 회로 v1 -0.8199V -1V 18% v2 -1.9024V ... mode): CH1과 CH2가 독립적으로 동작함 ?
    리포트 | 13페이지 | 1,000원 | 등록일 2019.11.04
  • 한글파일 제세동기 적응증 및 사용법
    있는 경우 장비 업체에 연락해야함. ⓑ SYNC : Synchronized mode를 선택하는 버튼. ⓒ Wheel : 에너지(J)와 모드 설정 시 휠을 돌려서 사용. - DISARM ... G I H J K B L M ⓖ LEAD: 원하는 lead 선택할 수 있음. ⓗ SENSITIBITY : 리듬을 원하는 크기로 조절. ... : 장비의 전원을 켬. - MONITOR : 환자의 리듬을 모니터만 하는 경우 사용. - SETUP : 장비의 옵션, 환경설정, 날짜/시간 변경 시 사용하는 모드. - BASIC
    리포트 | 8페이지 | 3,000원 | 등록일 2023.11.10 | 수정일 2024.01.11
  • 한글파일 인공호흡기 적용과 ABGA 레포트
    CMV+PSV -V-SIMV mode (Volume control SIMV mode) setting: FiO2, RR, TV, PEEP, I:E ratio, PSV -P-SIMV mode ... 급성 호흡부전: PaCO2>50mmHg & pH 기도, 폐 손상유발 setting: FiO2, RR, TV, I:E ratio, PEEP -PCV(Pressure control ventilation ... setting: FiO2, RR, IP, IT, I:E ratio, PEEP 2)자발호흡 O ?
    리포트 | 3페이지 | 1,000원 | 등록일 2020.06.11 | 수정일 2020.06.16
  • 한글파일 성인간호학실습 선수학습 조사
    SIMV mode 간헐적 기계호흡을 대상자의 호흡노력에 맞추어 동시에 시작하도록 보완한 방법 SIMV 호흡수를 점차 줄이고 대상자의 자발호흡을 점차 늘리는 방식 IMV mode 간헐적 ... 환자에게 전달되는 산소의 농도 21~100%(0.21~1.00) PEEP 호기말에 폐포를 열어두기 위해 행사하는 압력 호기말에도 압력을 5~10cmH2O로 양압을 유지하도록 설정 I/ ... (COPD) - 환자의의식이 명료하고 협조적이여야 한다. - 비강 폐쇄환자에게 사용할 수 없다. - 6L/min 이상을 초과 시 두통이나 점막 건조를 초래할 수 있다. - 쉽게 캐뉼라가
    리포트 | 2페이지 | 2,000원 | 등록일 2021.07.01 | 수정일 2021.11.15
  • 한글파일 에너지변환실험 A+레포트_차등증폭기
    V _{T}10 mV V _{d}650``mA-200`mA=0.45`V I _{EE}{V _{EE} -0.7`V} over {R _{E}} = {6V-0.7V} over {1.8`k ... ohm } =0.0029`A=2.9``mAi _{C}i _{C} = {alpha _{F} BULLET I _{EE}} over {1+e ^{-V _{d} /V _{T}}} =0.0028 ... I?꽃 ?
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.04
  • 한글파일 고려대학교 일반화학실험 [A+학점, 분반 2등] 7. 지시약의 산해리 상수
    ㅅbc log 1/T = log I0/I1 = ?ㅅbc T = e^-r = 10^-A T : 투과도 (Transmittance) I1 : 투과광의 세기 I0 : 입사광의 세기 ? ... Law 1) 투과도(transmittance, T) T = I1/I0 = e^? ... 빛의 경우 매질에 입사하는 에너지를 I, 흡수되는 에너지를 Ia로 할 때 Ia/I를 흡수능 (吸收能)이라고 한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.03.31
  • 워드파일 Keras 콜백기능
    I. 서론 콜백없이 딥러닝을 구축하는 것은 브레이크가 작동하지 않는 자동차를 운전하는 것과 같이 매우 위험하다. ... 예를 들어 모니터링되는 값이 val_loss이고 최소화하려는 경우 mode='min'을 설정합니다. ... 웹사이트 : https://www.kdnuggets.com/2019/08/keras-callbacks-explained-three-minutes.html#.Ym_sUG8nr1s.linkedin
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.10
  • 한글파일 건국대학교 전기전자기초설계및소프트웨어실습 7주차 레포트 A+
    아두이노는 디지털 핀을 Input/Output으로 정하여 사용한다. ○ pinMode(uint8_t pint, uint8_t mode); : 처음 아두이노의 디지털 핀의 input/ ... 보드의 범용 I/O에 대한 이론을 공부하여 Arduino Nano 33 BLE의 I/O를 제어하여 LED를 동작시키는 프로그램을 작성해본다. 4.Background ○ 아두이노 : ... LED- 2.Name 3.Abstract Arduino Nano 33 BLE 보드 구조를 이해한다. Arduino IDE 사용법을 익히고 이를 활용하여 실습을 진행한다.
    리포트 | 12페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업