• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(65)
  • 리포트(65)

"XOR 게이트 HDL" 검색결과 41-60 / 65건

  • 워드파일 전자전기컴퓨터설계실험2(전전설2)4주차결과
    HDL의 문법을 이용하여 원하는 게이트를 구현하기 위해서 project 파일을 HDL로 설정하여 생성한다. 2. text file로 생성 Project 파일과 마찬가지로 원하는 게이트를 ... XOR를 이용한 진리표 - A ^ 0 = A A 0 결과값 0 0 0 1 0 1 - A ^ 1 = ~A A 1 결과값 0 1 1 1 1 0 Ⅱ. ... HDL project를 생성 새 프로젝트를 생성할 때 프로젝트의 종류를 설정해주어야 한다.
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 워드파일 전전컴실험Ⅱ 06반 제03주 Lab#02 [『HBE-ComboⅡ-SE』, 『Xilinx ISE』] 결과 보고서
    -half adder x y C F 0 0 0 0 0 1 0 1 1 0 0 1 1 1 1 0 HALF ADDER는 XOR게이트에 AND 게이트만 추가한 구조로, 위의 사진에서 확인해 ... -xor gate x y F 0 0 0 0 1 1 1 0 1 1 1 0 XOR 게이트는 두 개의 입력 값이 다를 때 출력을 하므로, 사진에서 오른쪽 진리표와 같이 (0 , 1), ( ... 보면 LED8 번의 점등은 XOR게이트의 점등과 같음을 확인할 수있고, CARRY 값인 LED 7번은 입력값이 (1 , 1)일 때만 점등 됨을 확인 할 수 있다. x y z C F
    리포트 | 17페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 한글파일 Gray code converter design - 디지털회로설계 프로젝트1
    하지만 이것도 정확하지는 않고 더욱 가격을 줄이려면 바로 트랜지스터레벨에서 게이트들을 생각해서 여러 가지 gate들이 하나로 합쳐진 형태의 게이트를 생각해야 한다. 7. ... HDL은 두 가지 종류의 시스템을 설계하기 위해 만들어졌다. ... 여기서 구한 SOP식이 XOR gate를 나타낸다는 것을 알아낼 수 있었다.
    리포트 | 11페이지 | 1,500원 | 등록일 2014.02.17
  • 워드파일 [verilog HDL] 감산기와 비교기의 설계
    XOR 게이트를 이용하여 감산기를 설계하시오. ... 실험 도구 & 실험 방법 •실험의 절차 1) XOR 게이트를 이용하여 감산기를 설계한다. ... 비교 회로 CITATION 전자용95 \l 1042 (전자용어사전, 1995) •실험의 가정 & 추측의 근거 1) 감산기의 설계시 XOR 게이트를 사용하여 1bit 감산기를 만든 후
    리포트 | 27페이지 | 3,000원 | 등록일 2014.11.02
  • 워드파일 전전컴실험Ⅱ 06반 제04주 Lab#03 [Verilog HDL] 예비보고서
    Description Language, HDL)이며, 줄여서 '베릴로그 HDL'이라고 부르기도 한다. ... (나)게이트지연 실제 회로에서 논리 게이트는 각각의 게이트 마다 지연을 갖고 있다. 일반적으로 프리미티브 게이트의 입력에서 출력으로 가는 지연은 3가지 형태가 있다. ... Hypothesis(Expected results) of this Lab & Basis of the assumption (1) 반가산기 실험[2] (가) XOR GATE로 두 입력
    리포트 | 11페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 워드파일 전전컴실험Ⅱ 06반 제03주 Lab#02 [『HBE-ComboⅡ-SE』, 『ISE』] 예비 보고서
    20만 시스템 게이트 설계가 가능한 XC3S200 디바이스를 사용한다. ... Project Navigator program을 사용하여 XOR GATE 회로를 만든 후 저장한다. 2. ... 최적화 스파탄-3AN 1500 ~ 25 000 비휘발성 스파탄-3E 2 000 ~ 33 000 논리 최적화 스파탄-3A DSP 37 000 ~ 54 000 DSP 최적화 (2) HDL
    리포트 | 14페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 워드파일 디지털 논리 게이트의 베릴로그 표현(게이트레벨 or 트렌지스터 레뼬)
    소개글 Verilog HDL을 이용한 디지털 논리 회로 게이트를 Gate Level or Transistor Level 모델을 이용하여 기능 구현 을 코딩하고 이를모델심을 이용하여 ... (작성자:탁형옥 2012.7.27) 목차 And 게이트 (게이트레벨) Or 게이트 (게이트레벨) Nand 게이트(트랜지스터 레벨) Nor 게이트(트랜지스터 레벨) Xor 게이트(트랜지스터 ... 게이트 // 모듈:xor_p // 파일이름: xor_p.v // 버젼 : 1.0 // 날짜 : 2011.04.20 // 저자 : 탁 형옥 // CODE 형태 : Gate Level
    리포트 | 11페이지 | 5,000원 | 등록일 2012.07.27
  • 한글파일 [디지털시스템실험(Verilog)] 32-bit Adder-Substracter 예비보고서
    모듈 구조에는 full adder와 XOR게이트가 사용되며, XOR게이트의 input은 캐리(Cin(sub ctrl))와 가수 혹은 감수이다. ... 입력해주는 캐리가 0일 경우 XOR게이트를 통과한 신호는 가수 자기 자신이 되므로 덧셈이 수행되고, 입력해주는 캐리가 1일 경우 XOR게이트를 통과한 신호는 감수의 보수가 되는 동시에 ... 구성된 회로가 adder로 활용될 경우엔 가수, subtracter로 활용될 경우엔 감수가 될 B와, sub ctrl로 작용하는 Cin을 input으로 가지는 XOR게이트가 사용된다는
    리포트 | 2페이지 | 1,500원 | 등록일 2011.10.05
  • 워드파일 Verilog를 이용한 Arithmetic Logic Unit (ALU) 구현 (컴퓨터 아키텍쳐 실습)
    그리고 ALU를 구현할 때 Verilog에서 지원하는 다양하고 강력한 연산 기능을 이용해서 게이트로 구현하는 것보다 훨씬 쉽게 구현할 수 있었다. ... 과정 (1) Active-HDL을 실행하고 새 workspace를 생성한다. (2) ALU module code를 작성한다. (3) multiplication module code를 ... C 1 (MSB should be filled with 0) 11100 arithmetic
    리포트 | 3페이지 | 1,000원 | 등록일 2013.03.08
  • 한글파일 [디지털시스템실험(Verilog)] 32×32 Binary Multiplier 예비보고서
    이를 추가로 설계한 후, A의 MSB와 B의 MSB를 XOR 게이트로 통과시켜 그 값을 Sel에 저장하였다. ... 실험준비물 ModelSim(HDL Simulator) 기본지식 ① Multiplier 피승수와 승수의 곱셈 연산을 수행하는 곱셈기이다. ② Multiplier 모듈의 gate level ... 코드를 보면 Wn은 32bit의 A와, 1bit의 B[n]의 곱(AND 게이트 통과)으로 나타내어 져 있는 것을 알 수 있다. wire Xn은 각 adder의 피가수이다.
    리포트 | 2페이지 | 1,500원 | 등록일 2011.10.05
  • 한글파일 [디지털시스템실험(Verilog)] Verilog 기본 실습 결과보고서
    (앞서 말했듯 모듈 선언 등 중복되는 부분은 생략한다.) input A, B는 xor게이트 x0를 통과하여 output Sum을 출력한다. input A, B는 and게이트 a0를 ... : 실험조 : 실험일 : 실험제목 Verilog 실험목표 ① Verilog 언어의 개념을 이해하고 코딩을 위한 기본 문법을 익힌다. ② 코딩한 Verilog의 시뮬레이션을 위해 HDL ... 각각의 half adder의 and게이트에서 출력된 W[2]와 W[1]을 or게이트 o0로 통과시키면, full adder의 새로운 Cout값이 출력된다.
    리포트 | 3페이지 | 2,000원 | 등록일 2011.10.05
  • 워드파일 디지털 논리 실험, 기본 논리 게이트 예비 보고서
    실험목표① 기본적인 논리 게이트의 동작 특성을 이해하고 이를 통하여 여러 논리 소자(Logic Component)의 동작 방법을 확인하고, 각 소자를 verilog HDL로 구현하여 ... 즉 ‘1’을 ‘0’으로 ‘0’을 ‘1’로 변환시킨다.3. verilog HDL 코드분석‘timescale 1ns/1ps // 테스트 시간 ... 기본 논리 게이트(AND, OR, NOT)1.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.07.18
  • 워드파일 디지털 논리 실험, 기본 논리 게이트 결과 보고서
    AND, OR, NOT 게이트 분석 (Fig 1-2) chapter2. NAND, NOR 게이트 분석 (Fig 1-3) chapter3. XOR, XNOR 게이트 분석 2. ... 하지만 1-2(b)와 1-4(b)는 2레벨의 과정을 거치기 때문에 수행시간이 조금 지연될 것이다. 2) 그림 1-2, 그림 1-4와 같이 Verilog HDL 코드로 작성하여 시뮬레이션을 ... gate input Output A B Z 0 0 1 0 1 0 1 0 0 1 1 0 NOR gate input output A B Z 0 0 0 0 1 1 1 0 1 1 1 0 XOR
    리포트 | 6페이지 | 1,000원 | 등록일 2009.07.18
  • 파일확장자 FPGA와 Verilog를 이용한 co-simulation과 co-emulation.
    , NOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복제하여 프로그래밍할 수 있다. ... programmable gate array)은 programmable 논리 요소와 programmable 내부선이 포함된 반도체 소자이다. programmble 논리 요소는 AND, OR, XOR ... PurposeBefore the exp.9 and exp.10 in which you should design a complex digital circuit in verilog HDL
    리포트 | 5페이지 | 4,000원 | 등록일 2009.12.24
  • 한글파일 예비보고서-Exp 8. Co-Simulation & Co-Emulation Using FPGA
    프로그래머블 논리 요소는 AND, OR, XOR, NOT, 더 복잡한 디코더나 계산기능의 조합 기능같은 기본적인 논리 게이트의 기능을 복재하여 프로그래밍할 수 있다. ... Purpose Before the exp.9 and exp.10 in which you should design a complex digital circuit in verilog HDL ... You can learn how to do the followings in this experiment. - Digital circuit design in verilog HDL -
    리포트 | 6페이지 | 2,000원 | 등록일 2010.10.09
  • 한글파일 Verilog 언어를 이용하여 지연시간을 코딩하고 클럭펄스에 대한 결과값 출력
    또는 Quartus의 출력을 제출) (a) 게이트 g1: 0→1 게이트 g2: 0→1 게이트 g3: 0→0 게이트 g4: 0→0 게이트 g5: 0→0 (출력이 나오기까지는 18ns가 ... 걸린다) (b) -Verilog Code1- `timescale 1ns/100ps module test_XOR; reg x, y; wire c; XOR xt(x, y, c); initial ... (Verilog 언어를 이용하여 Active-HDL 또는 Quartus의 출력을 제출) -Verilog Code- `timescale 1ns/100ps module test_mux;
    리포트 | 4페이지 | 3,000원 | 등록일 2008.04.15
  • 한글파일 Nand 연산을 이용한 Xor 게이트 구현과 간단한 Adder 구현
    Verilog HDL File에서 Verilog 코드를 작성한다.(xor Gate,Full-Adder) 6. 컴파일하고 제대로 동작하는지 확인하기 위해 시뮬레이션을 한다. 7. ... FPGA와 보드의 핀 연결을 하고 FPGA에 올려서 테스트해본다. □ Nand Gate를 이용한 Xor Gate 설계 ▶ 회로 분석 - 이 회로는 xor 게이트를 논리 게이트를 이용해서 ... 토의 - 이 실험을 통해 실제로 nand gate를 이용한 xor게이트를 구현해 보았다.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.07
  • 한글파일 4bit감산기 Verilog구현
    (HW1과 블록도 일치, 단, 입력값 b에는 not게이트가 각 FA마다 연결되어 있음) 입력 출력 블록간 연결 4bit a 4bit b 1bit c_in(1값으로 고정) 4bit s ... 츨력 begin $monitor($time,"a=%b,b=%b,c_in=%b,s=%b,c_out=%b",a,b,c_in,s,c_out); end endmodule ③ Verilog HDL ... 0 1 0 0 1 1 0 1 0 1 0 1 1 0 1 0 1 1 1 1 1 1 0 1 0 1 s = a + (not b) + 1 c_out=(a and (not b)) or (a xor
    리포트 | 4페이지 | 2,000원 | 등록일 2009.04.21
  • 한글파일 verilog k-map이용,유니버셜 게이트로만 구성한 전가산기
    Y)Z+XY 4.위의 식을 이용해서 논리도 설계 먼저 XOR이용한것: 유니버셜 게이트인 NAND 게이트로 바꾸어준다 5.Verilog HDL로 설계하기 소스: module full(
    리포트 | 4페이지 | 1,000원 | 등록일 2008.07.31
  • 한글파일 NAND, NOR, XOR 게이트 디지털회로실험 예비보고서
    1 0 0 1 1 1 1 0 0 0 XOR 게이트의 등가회로이기 때문에 위 논리도의 결과는 예비실험 3의 XOR게이트의 결과값과 동일한 값이 나와야 한다. ... 디지털회로실험 결과보고서 -Lesson 3 예비 NAND, NOR, XOR 게이트 1. ... XOR 게이트의 동작 확인 XOR gate 시뮬레이션 결과 A: 0, B: 0 A: 1, B:0 A: 0, B: 1 A: 1, B: 1 결과 예측 입력 출력 A B E 0 0 0 0
    리포트 | 6페이지 | 1,000원 | 등록일 2010.05.23
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업