• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,173)
  • 리포트(1,132)
  • 시험자료(23)
  • 자기소개서(12)
  • 논문(3)
  • 방송통신대(2)
  • 이력서(1)

"Flip-flop" 검색결과 81-100 / 1,173건

  • 한글파일 SR, D, T Flip-flop 구현
    SR Flip-flop (1) State table Present State Input Next State Flip-Flop Inputs A B X A B SA RA SB RB 0 ... D Flip-Flop (1) State Table Present State Input Next State Flip-Flop Inputs A B X A B DA DB 0 0 0 0 0 ... T Flip-Flop (1) State Table Present State Input Next State Flip-Flop Inputs A B X A B TA TB 0 0 0 0 0
    리포트 | 3페이지 | 1,000원 | 등록일 2011.11.13
  • 한글파일 예비05_Latch Flip-flop
    T Q(t) QN(t) 상태 0 Q(t-1) QN(t-1) 유지 1 QN(t-1) Q(t-1) 반전 toggle flip-flop이란, 하나의 입력과 CLOCK을 가지고 동작하는 flip-flop이다 ... 목적 여러 종류의 flip-flop을 구성하여 그 동작 특성을 알아본다. II. ... 일반적으로 pulse 지속시간에서 작동하는 flip flop을 latch라 하고, pulse transition에서 작동하는 flip flop을 register라 한다.
    리포트 | 7페이지 | 2,500원 | 등록일 2010.10.19
  • 한글파일 실험 6. Latch & Flip-Flop(결과)
    R-S Flip-Flop D Flip-Flop 결과표 ... ←R-S Flip-Flop 결과표 ? ... 실험 2,3) D F/F(gate 이용, IC이용) D Flip-Flop은 R-S Flip-Flop과 인풋 대비 아웃풋이 거의 비슷하다고 볼 수 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2012.09.14 | 수정일 2014.01.01
  • 워드파일 SEQUENTIAL LOGIC ELEMENTS- FLIP­FLOPS &REGISTERS
    SEQUENTIAL LOGIC ELEMENTS- FLIP­FLOPS ®ISTERS Introduction This lab is the first lab that deals with ... will force the flip­flop state to 0 synchronous with the clock. ... Use combinational logic elements to design a D flip­flop with active low synchronous clear input which
    리포트 | 13페이지 | 1,000원 | 등록일 2012.02.11
  • 한글파일 D flip-flop 결과 보고서
    Triggered D flip-flop 은 clear 와 preset 입력을 가지는 D flip-flop을 말한다. ... 기초회로실험 결과보고서 < D flip-flop > * 실험목적 실험을 통해 Preset 과 Clear, Clock 이 있는 D flip-flop 의 원리를 알아본다. * 관련이론 ... flip-flop은 종종 Clock과는 독립적으로 flip-flop을 어떤 초기 상태로 set 하기 위해 부가적인 입력을 갖는데, Preset와 Clear 가능한 Positive Edge
    리포트 | 2페이지 | 1,000원 | 등록일 2010.11.17
  • 한글파일 결과05_Latch&Flip-flop
    전자공학부 제출일: 10.10.25 (월) 과목명: 논리회로실험 조교명: 유창승 분 반: 월F 학 번: 200920148 성 명: 이슬기 200920148_이슬기_결과05_Latch&Flip-flop.hwp ... 입력 출력 T Q QN 0 Q(t-1) : 유지 QN(t-1) 1 QN(t-1) : 반전 Q(t-1) ≫ J-K F/F은 입력이 모두 0이거나 1인 경우에만 사용하는 플립플롭이다. ... 입력 출력 J K Q QN 0 0 Q(t-1) : 유지 QN(t-1) 0 1 0 : Reset 1 1 0 1 : Set 0 1 1 QN(t-1) : 반전 Q(t-1) ≫ J-K 플립플롭은
    리포트 | 4페이지 | 3,000원 | 등록일 2010.11.04
  • 워드파일 Digital Circuit1-Digital Gate & Flip-flop(결과)
    RS Flip-flop의 회로 칩은 따로 존재하지 않는다. 대신에 RS Flip-flop은 NAND Gate 4개로 구성할 수 있다. ... Flip-flop을 구성하고 동작 원리를 이해한다. ... D Flip-flop의 회로 칩은(74LS74)와 같다. 74LS74의 Data Sheet는 위에 나타내었다. Flip-Flop은 클록신호(CLK)가 들어올 때 작동한다.
    리포트 | 17페이지 | 1,000원 | 등록일 2013.03.31
  • 한글파일 flip-flop and counter design(결과)
    Experiment Result (1) Designing and verifying of JK flip-flop and D flip-flop ① JK flip-flop - Waveform ... D Flip-Flop. ? ... Setup / Hold time & Delay time ② D flip-flop - Waveform The D flip-flop is synchronized when the clock
    리포트 | 13페이지 | 1,000원 | 등록일 2011.07.09
  • 한글파일 flip-flop and counter design(예비)
    Pre - Report 1. ... are triggered bher flip-flops are triggered by its previous flip-flop, and the synchronous method where ... Theory (1) Latch / Flip-Flop ① S-R Latch Latch has two values, 1 and 0, for the output.
    리포트 | 16페이지 | 1,000원 | 등록일 2011.07.09
  • 파워포인트파일 논리 gate (Flip-Flop) 프리젠테이션
    RS Flip-Flop Clocked RS Flip-Flop (두 개의 Nor gate를 그림과 같이 교차 결합시켜 구성하면 됨) 예상 진리표 RS FlipFlop 실험 방법 ... D FlipFlop 실험 결과 1번 그림 2번 그림 preset과 reset을 갖는 D Flip-Flop preset과 reset을 갖는 D Flip-Flop (참고. preset가 ... preset과 reset을 갖는 D Flip-Flop 실험 결과 D가 0 일 때 D가 1 일 때 preset과 reset을 갖는 JK Flip-Flop 이 FF는 RS FF와
    리포트 | 59페이지 | 5,000원 | 등록일 2009.06.21
  • 워드파일 전자회로 플립플롭(flip - flop)
    R-S Flip Flop R-S FF를 이해하기 위해서 우선 R-S Latch를 알아야 한다. ... Flip-Flop의 종류, 동작 특성 등을 알아 보고 VHDL code로 구성하여 실제로 구현해 본다. ... Flip-Flop의 동작 특성을 이용하여 serial-to-parallel register를 VHDL로 구성해 보고 확인한다.
    리포트 | 15페이지 | 1,500원 | 등록일 2010.04.25
  • 한글파일 D Flip-Flop을 이용한 2진 계수 설계
    입력에 따른 회로의 동작(단,clock pulse : 1Hz) - 입력이 0인 경우 Flip-Flop의 출력을 측정하여 도시[첨부1]출력파형 첨부- 입력이 1인 경우 Flip-Flop의 ... 과제명 D 플립-플롭을 사용한 2-비트 2진/그레이코드 카운터 설계2. ... 과제내용입력이 0인 경우 2-비트 2진 계수를 하고, 입력이 1인 경우 2-비트 그레이 코드 계수를 하는 동기식 순차 논리회로를 D 플립-플롭과 NAND_게이트를 사용하여 경제적으로
    리포트 | 8페이지 | 3,500원 | 등록일 2011.12.01
  • 한글파일 D와 JK 플립플롭 실험 레포트(D and JK Flip-flop)
    이론 (1) D 플립플롭(D Flip Flop) D 플립플롭은 RS 플립플롭에 약간의 변형을 가한 것으로 데이터 플립플롭(Data Flip Flop) 이라고도 한다. ... 실험 Ⅰ-5. D 및 JK 플립플롭 (D and JK flip flop) 목적 D, T, JK 플립플롭의 동작원리를 살펴보고 측정을 통하여 그 특성을 확인한다. ... (a)(b) (c)D Q_{ n+1} 0 0 1 1 (그림 1) D 플립플롭 (2) T 플립플롭(T Flip Flop) T 플립플롭은 토글 플립플롭(Toggle Flip Flop)
    리포트 | 7페이지 | 1,500원 | 등록일 2013.11.20
  • 한글파일 Positive edge triggered master-slave D flip flop 설계보고서
    D flip flop 시뮬레이션/결과 a.논리 회로도 Master-slave D flip-flop이 positive edge triggered D flip-flop의 특성을 가지고 ... 설계 제목 - Positive edge triggered master-slave D flip flop ? ... D flip flop의 동작 특성 · flip-flop : clock 신호에 동기되어 한주기 동안 1bit 정보(state)를 저장하는 소자 · Positive edge triggered
    리포트 | 3페이지 | 1,000원 | 등록일 2014.06.03
  • 한글파일 논리결과-6-래치와 플립플롭(Latch & Flip-Flop)
    J-K Flip Flop은 R-S Flip Flop의 변형이다. ... J-K Flip Flop은 R-S Flip Flop과 비슷한데 R-S Flip Flop의 경우는 R과 S가 모두 High인 경우의 입력은 없다고 생각하였다. ... 래치와 플립플롭(Latch & Flip-Flop) 1. 실험목적 - 여러 종류의 flip-flop을 구성하여 그 동작 특성을 알아본다. 2. 실험결과 실험 1) R-S F/F .
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.23
  • 워드파일 실험5예비[1].Latch&Flip-Flop
    Latch와 flip-flop의 차이점을 설명하라. ... 목 적 Flip-Flop의 기본이되는 R-S F/F을 비롯한 여러 종류의 F/F을 구성해보고 동작 특성을 실험을 통해 알아본다. 2. ... Latch와 Flip-flop의 동작 기호를 보면 Latch인지 F/F인지 바로 구분이 되는데, 사각형 IC 테두리 내에 삼각형으로 Edge Trigg -
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.27
  • 워드파일 실험5결과[1].Latch&Flip-Flop
    Latch와Flip-Flop 결과 PAGE \* MERGEFORMAT - 1 - ... R-S F/F 출력파형 - 이전 실험에서 만들었던 R-S latch 회로에 클럭 요소를 넣어서 memory 기능을 가지고 있는 Flip-Flop 소자를 만들어보는 실험이었다. ... 이 회로에서 두 입력이 모두 1일 때 값을 기억하는 기능을 이용해 다음 실험에서 memory 기능이 있는 기억소자 Flip-Flop의 회로를 만들 수 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2011.06.27
  • 한글파일 실험 5. 래치와 플립플롭(Latch & Flip-Flop)
    래치와 플립플롭(Latch & Flip-Flop) > < 목 적 > 여러 종류의 flip-flop을 구성하여 그 동작 특성을 알아본다. < 질문사항 > (1) NAND gate를 이용하여 ... 클럭 펄스는 0-1-0-1-0-1이런 식으로 신호가 변하는데, 이때 클락 펄스가 1일 때 flip-flop은 동작을 하고 0일 때는 동작을 하지 않는다. ... 차이점을 설명하라. latch 와flip-flop 순서논리회로 소자로서 1비트를 저장하는 용도로 메모리 역할을 하지만 그 저장하는 시기가 다르다.
    리포트 | 3페이지 | 2,000원 | 등록일 2012.03.11
  • 한글파일 기초 회로 실험 보고서 9장(결과)-플립플롭,카운터,시프트레지스터FLIP FLOP, COUNTER, SHIFT REGISTER
    [SW1 - 올렸을 경우] 시간이 지남에 따라 Q0→Q7 순서로 일정간격으로 꺼짐을 확인하였다. ... [SW1 - 내렸을 경우] 시간이 지남에 따라 Q0→Q7 순서로 일정간격으로 켜짐을 확인하였다.
    리포트 | 2페이지 | 2,000원 | 등록일 2016.12.06
  • 한글파일 쌍안정멀티바이브레이터(Flip-Flop).
    실 험 예 비 보 고 서 실험 단원 및 제목 쌍안정멀티바이브레이터(Flip-Flop) 검사란 1) 실험 목적 여러 가지 쌍안정멀티바이브레이터(Flip-Flop)의 특성과 동작에 대한 ... 플립플롭(flip-flop)은 외부에서 입력을 가하지 않는 한 원래의 상태 (무한정 2진식 상태)를 유지한다. ... 따라서 이 FF의 이름은 Reset-Set Flip Flop이 되는 것이다. 그리고 Q'는 항상 Q의 반대 레벨이다.
    리포트 | 7페이지 | 2,500원 | 등록일 2009.07.11
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업