• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(225)
  • 리포트(205)
  • 자기소개서(19)
  • 이력서(1)

"4주차. Verilog HDL" 검색결과 21-40 / 225건

  • 한글파일 기초전자회로실험 - Moore & Mealy Machine 예비레포트
    Vivado Design Suite 2014.4 : Xilinx에서 HDL 디자인의 합성 및 분석을 위해 제작 한 software suit이다. 3. ... Xilinx : ISE (Integrated Synthesis Environment)는 Xilinx에서 HDL 설계의 합성 및 분석을 위해 제작 한 소프트웨어 도구이다. 4. ... C언어의 컴파일 과정과 비슷하다고 보면 된다. 4) Simulation Sources 폴더에 testbench파일을 만들어, 설계된 회로에 넣을 입력값이나 클록신호를 verilog코드로
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 한글파일 시립대 전전설2 Velilog 결과리포트 3주차
    Verilog HDL 실습 3주차 결과 리포트 Major 전자전기컴퓨터공학부 Subject 전자전기컴퓨터설계실험2 Professor Student ID Number Name submit ... 때문에 복잡하고 생소한 Verilog HDL 문법을 이해하고 숙지한 상태가 아니라면 회로를 설계하는데 어려움이 있을 것 같다. ... 예상결과 - Schematic을 이용하여 게이트를 직접 그려서 논리 회로를 설계하고 시뮬레이션 및 프로그래밍 했던 이전 실험과 달리 Verilog HDL 코드를 작성하여 실험을 하기
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 한글파일 서울시립대 전자전기설계2(전전설2) 3주차 사전보고서
    Verilog HDL과 VHDL의 장단점 Verilog HDL : C를 기반으로 하는 언어, 대소문자를 구분함, 전자시스템을 모델링하는데 사용되는 언어, VHDL보다 약한 형식, 패키지 ... In-Lab 실습 1~5 과제들을 Verilog HDL 언어로 코딩하고 Synthesize ? ... 2019년 전자전기컴퓨터설계실험2 3주차 사전보고서 1.
    리포트 | 8페이지 | 1,500원 | 등록일 2019.10.13
  • 워드파일 서울시립대학교 전전설2 3주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    Post-Lab Report - Title: Lab#03 Introduction to Verilog HDL 담당 교수 담당 조교 실 험 일 학 번 이 름 1. ... 사실 예레를 작성하면서 회로도를 만들어 input, output을 연결해주었는데, 이런 필요가 없이 verilog에 작성한 input, output과 동일하게 소자를 연결해주면 된다는 ... Stored 4’b1001 4 Binary 9 1001 5’D3 5 Decimal 3 00011 3’b01x 3 Binary - 01x 4’h4 4 Hex 4 0100 (3) Verilog
    리포트 | 23페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    실험의 목적 Verilog HDL 언어를 이용하여 디지털 회로를 디자인 하기에 앞서 Schematic 설계를 수행해 본다. ... Digital Design with an Introducton to the Verilog HDL 5thedition 3) XILINX DS099 Spartan-3 FPGA Familiy ... Data Sheet 4) (주) 한백전자 기술연구소 Combo-II SE 활용설명서
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • 한글파일 기초전자회로실험 - FPGA Implementation of Shift Register (쉬프트레지스터) 예비레포트
    4주차 예비레포트 학번 : 이름 : 분반 : 1. 실험 제목 : FPGA Implementation of Shift Register 2. ... Vivado Design Suite 2014.4 : Xilinx에서 HDL 디자인의 합성 및 분석을 위해 제작 한 software suit이다. 3. ... Xilinx : ISE (Integrated Synthesis Environment)는 Xilinx에서 HDL 설계의 합성 및 분석을 위해 제작 한 소프트웨어 도구이다. 4.
    리포트 | 12페이지 | 2,000원 | 등록일 2021.02.27
  • 워드파일 디시설, 디지털시스템설계 이론과제 8주차 인하대
    Attach Verilog HDL code and simulation result (waveform) from Vivado tool. ... HW8 Problem Verilog HDL code using behavioral model and test bench to verify whether the module behaves ... 입력하는 mux를 사용하여 4개의 방식 중 하나를 선택하도록 했다.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.08.31
  • 한글파일 ring,jhonson counter 예비레포트
    /operators.html 4) https://verilogcodes.blogspot.com/2015/10/verilog-code-for-4-bit-johnson-counter.html ... FPGA는 SRAM 타입의 경우 PROM 파일만 바꿔주면 부용을 바꿀 수 있다. ASIC은 칩을 교체해야 하지만 FPGA는 업데이트를 해결 가능하다. ... $monitor, $display: 특정 값을 디스플레이 할 때 사용) (5) synthesis -합성은 HDL을 이용한 설계에서 가장 중요한 과정이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2022.08.21
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(결과) / 2021년도(대면) / A+
    Digital Design with an Introducton to the Verilog HDL 5thedition 3) 연세대학교 정보통신용 SoC설계연구실 Verilog 문법 교안 ... Conclusion - Verilog HDL 언어를 여러 가지 방법론을 통해 Combinational Logic을 설계할 수 있다. ... 실험의 목적 Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험(Encoder/Decoder, Mux/Demux 등)하고, 설계한 로직을 시뮬레이션하기
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 워드파일 시립대 전전설2 [2주차 예비] 레포트
    Purpose of this Lab 이번 실험에서는 Verilog HDL 언어를 사용하여 논리회로를 설계하는 방법을 배운다. ... Essential Backgrounds for this Lab Xilinx integrated software environment 통합 소프트웨어 환경 입니다. verilog HDL이나 ... 전자전기컴퓨터설계실험 Ⅱ Pre-report 2주차: HDL을 사용한 디지털회로 설계 툴 (Xilinx ISE) 사용법 1. Introduction (실험에 대한 소개) 가.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 워드파일 시립대 전전설2 [9주차 결과] 레포트
    %20HDL-Part%201.pdf" http://www.ee.ic.ac.uk/pcheung/teaching/ee2_digital/Lecture%203%20-%20Verilog%20HDL-Part ... Purpose of this Lab Verilog HDL 언어를 사용하여 character display를 위한 VFD장치 제어를 실험을 한다. 나. ... 전자전기컴퓨터설계실험 Ⅱ Post-report 9주차: Display Control 1. Introduction (실험에 대한 소개) 가.
    리포트 | 11페이지 | 2,000원 | 등록일 2019.07.29
  • 파일확장자 [서울시립대] 전자전기컴퓨터설계실험2 / 파이널 계산기 / 2021년도(대면) / A+ (코드파일 포함)
    Introduction- 앞서 수행한 실험들(논리 설계, 7-segment와 Piezo 장치 제어, LCD 장치 제어 등)을 바탕으로, Verilog HDL 언어를 사용하여 최종적으로 ... 나눗셈 - input1은 Dividend(피제수, 나눠지는 수), input2는 Divisor(제수, 나누는 수)로 지정해주었다. ... 이 때의 입출력은 모두 정수(양수, 음수, 0) 범위로 표현 가능하다.- input을 인가한 뒤, 뺄셈을 수행하기 위해서 Subtract(Bus SW 4)와 Result(Button
    리포트 | 25페이지 | 20,000원 | 등록일 2022.08.12 | 수정일 2022.08.18
  • 워드파일 시립대 전전설2 [8주차 결과] 레포트
    %20HDL-Part%201.pdf" http://www.ee.ic.ac.uk/pcheung/teaching/ee2_digital/Lecture%203%20-%20Verilog%20HDL-Part ... Purpose of this Lab 7세그먼트의 동작을 익히고 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 하고 실제 실험에서 코딩한 ... Discussion (토론) 첫번째 실험은 7주차 실험의 첫번째 실험에서의 응용으로 4-bit counter를 이용하여 FND를 설계하는 것이었다. 4bit counter의 up down의
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • 한글파일 기초전자회로실험 - FPGA Board를 이용한 FSM 회로의 구현 예비레포트
    그러나 일반적으로 속도가 느리고 복잡한 설계에 적용이 불가하며 소비전력이 크다는 단점이 있다.[1] 2) Vivado Design Suite 2014.4 : Xilinx에서 HDL ... C언어의 컴파일 과정과 비슷하다고 보면 된다. 4) Simulation Sources 폴더에 testbench파일을 만들어, 설계된 회로에 넣을 입력값이나 클록신호를 verilog코드로 ... 9주차 예비레포트 학번 : 이름 : 분반 : 1. 실험 제목 : FPGA Board를 이용한 FSM 회로의 구현 2.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.02.27
  • 워드파일 Verilog HDL을 이용한 Mu0 프로세서 구현 프로젝트 (코드, ModelSim결과 포함)
    이로써 Verilog-HDL로 코딩한 MU0프로세서와 메모리가 잘 동작하고 합성이 된 것을 확인할 수 있었다. ... 디지털시스템설계 Mu0 Processor 프로젝트 Introduction 본 프로젝트는 Verilog-HDL언어를 이용하여 간단한 프로세서의 형태인 MU0 processor를 설계하고 ... 검증 과정에서 Verilog-HDL의 코드 문법과 이론을 익힐 수 있었고, 무엇보다 수십 번 시뮬레이션을 진행하면서 Model-sim을 활용한 시뮬레이션을 좀 더 잘 활용할 수 있게
    리포트 | 16페이지 | 8,200원 | 등록일 2021.01.03
  • 워드파일 LIG넥스원 HW 최종 합격 자기소개서(자소서)
    특히 Verilog를 이용한 4족 로봇 설계 프로젝트에서 목표치보다 면적이 많이 나오는 문제를 해결한 경험이 있습니다. ... C언어와 HDL관련 5개 전공을 수강하며 쌓은 코딩 지식을 바탕으로, 학부연구생 활동에서 C++과 Verilog를 이용하여 HW를 설계, 검증, 테스트하여 이를 바탕으로 논문을 작성한 ... 자신을 가장 잘 표현할 수 있는 당사의 무기체계 또는 무기를 선택하고, 어떠한 점이 본인을 잘 표현했다고 생각했는지 이유를 작성해주세요.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.02.17
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 7주차 Lab07 예비 레포트 Sequential Logic 2, 전자전기컴퓨터설계실험2,
    예상 결과 본 실험은 Verilog HDL 언어를 사용하여 Sequential Logic을 설계하는 실험이다. ... 그중 FSM인 Moore Machine 과 Mealy Machine을 Verilog HDL언어를 사용하여 설계하고 실험하여 state machine의 이해도를 높이고 동작을 확인해본다 ... 실험 장치 Combo box, Xilinx program, laptop 4.
    리포트 | 13페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 워드파일 시립대 전전설2 Velilog 결과리포트 4주차
    Verilog HDL 실습 4주차 결과 리포트 Major 전자전기컴퓨터공학부 Subject 전자전기컴퓨터설계실험2 Professor Student ID Number Name submit ... 결론 이번 실험은 Verilog HDL 언어를 이용하여 감산기, 비교기 \를 설계하고 이를 FPGA에 다운로드 하여 스파르탄 보드(HBE_COMBO II) 기기에서 정상 작동하는지 ... 실험 목적 - Xilinx ISE 프로그램에서 Verilog를 이용하여 연산회로를 설계하고 프로그래밍 해본다. 2.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 한글파일 SK하이닉스 회로설계직 합격자소서
    마지막으로 vivado에서 Verilog HDL을 통해 디지털 회로설계 역량을 쌓았습니다. #공학적 철학자 철학은 ‘지혜를 사랑한다’는 뜻을 가집니다. ... . (600자) [설계와 검증의 역할 분담] 디지털회로설계 과목에서 팀원 1명과 함께 verilog HDL을 활용하여 차량 번호 조회, 차량 위치 찾기 6개의 기능이 있는 '무인 주차관리 ... 설계와 검증으로 역할을 나누어 프로젝트를 진행한 결과, 주어진 4주 중 2주만에 최종 결과물을 만들었고, 만점을 받을 수 있었습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.02.11
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    실험 목적 본 실험에서는 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 직접 설계하고 실험하여 실제 어떻게 응용되어 사용될 수 있는지 ... 예상 결과 본 실험은 Verilog HDL 언어를 사용하여 7-segment and Piezo Control 을 설계하는 실험이다. 7-segment and Piezo Control ... 왼쪽으로 shift 되었을 때 값이 5 이상일 면 add3를 해준다. 3. 1번을 반복한다. 4. binary 가 8 bit 로 이루어져 있다면 8번 shift 해야 한다. 3.
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업