• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(139)
  • 리포트(117)
  • 자기소개서(21)
  • 논문(1)

"vhdl 디지털시계" 검색결과 1-20 / 139건

  • 워드파일 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    Vhdl를 이용한 코드 출력 결과 디지털 시계 이론적 배경 Vhdl를 이용해 디지털 시계를 구성하기 위해 component 문을 활용하여 설계를 하게 되었다. ... Vhdl를 이용한 코드 . 출력 결과 1초 생성기 이론적 배경 디지털 시계를 만들기 위해 1초마다 클럭을 만들어 내는 1초 생성기가 필요하다. ... Vhdl를 이용한 코드 출력 결과 60진 카운터 이론적 배경 디지털 시계구성에서 필요한 카운터로 60초, 또는 60분이 되었을 때 carry발생을 하도록 구성된다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 한글파일 VHDL실습 디지털 시계
    VHDL 및 실습 디지털 시계 설계 및 시뮬레이션 1.서론 ‘디지털시계‘ 라는 전체 시스템의 설계를 vhdl이나 schematic등 하나의 디자인이 아닌 기능별로 블록화, 부품화 ... 디지털시계의 전체구조는 다음과 같다. ... 다음과 같이 디지털시계를 설계하기 위해 각 기능별로 회로를 설계했다. 이것들을 이용해 본격적으로 디지털시계를 설계하는 과정을 설명해보려 한다.
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • 한글파일 VHDL 디지털 시계 digital watch
    실습목적디지털 타이머에 필요한 카운터들을 직접 만들어 보고, Component 구문을 활용하여 1초마다 시간이 흐르는 디지털 시계를 설계한다. 2. ... , 전체적 구성을 알기 쉬움단점: 복잡하고 Top Disign은 쿼터스 프로그램 밖에 못 쓴다.VHDL장점: 디자인이 바뀌어도 사용 가능하다단점: 전체구조를 알아보기 힘들다. ... Top Entity로 Schematic을 사용할지 VHDL을 사용할 지는 설계하는 사람(리더)이 결정한다.Top Entity Schematic 장점: 심볼 형태->한눈에 알아보기 쉬움즉
    리포트 | 15페이지 | 2,000원 | 등록일 2017.11.26 | 수정일 2019.06.14
  • 한글파일 VHDL 디지털 시계
    디지털 시계는 stopwatch의 입력 신호인 1/100 sec 신호를 1sec 신호로 입력시키고 60진수 계수기로 변화시키면 기본적으로 동작되는 디지털시계를 만들 수 있다. ... EDA_Lab3000 실습 키트를 가지고 디지털시계의 동작을 확인하도록 한다. ... 1)디지털시계 ●목적 · MODE SWITCH의 동작에 대하여 공부한다. · Debounce 회로의 동작에 대하여 공부한다. · 시계 조정 회로의 동작에 대하여 공부한다. · Timebase
    리포트 | 21페이지 | 2,000원 | 등록일 2015.10.16
  • 한글파일 졸업논문_VHDL을 이용한 디지털 시계구현
    學士學位 請求論文 VHDL을 이용한 디지털시계 설계 (Design of a Digital Clock using VHDL) 2007年 11月 20日 崇實大學校 IT大學 情報通信電子工學部 ... 金 應 日 學士學位 請求論文 VHDL을 이용한 디지털시계 설계 (Design of a Digital Clock using VHDL) 指導敎授 : 宋 仁 彩 이 論文을 學士學位 論文 ... 金 應 日 學士學位 請求論文 VHDL을 이용한 디지털시계 설계 (Design of a Digital Clock using VHDL) 2007年 11月 20日 崇實大學校 IT大學 情報通信電子工學部
    논문 | 62페이지 | 4,000원 | 등록일 2010.12.21
  • 한글파일 VHDL을 이용한 디지털시계
    설계 절차 ① 디지털 시계의 설계 사양 결정 ㉠ 디지털 시계의 설계 과정 블럭도 ㉡ 디지털 시계의 기능 설명 입력: CLK : 외부에서 제공하는 시스템 클럭 SW1, SW2 : 각종 ... 제목 디지털 시계 구현 2. 목적 지금까지 배운 것을 기반으로 하여 기능이 다양한 시계를 구현해 본다. 3. ... 알람이 울릴때, LED에 불이 들어온다. ② 디지털 시계의 블럭 다이어그램 전체 블럭도 ③ 블럭별로 본 기능 각 블럭의 이름 기 능 DIV_CK 입력 100Hz를 만들어 주는 블럭
    리포트 | 25페이지 | 7,000원 | 등록일 2013.07.04 | 수정일 2017.04.14
  • 한글파일 VHDL을 이용한 디지털 시계 설계
    introduction 이번 실습은 VHDL을 이용한 디지털 시계 설계로 분주회로를 설계하는 방법을 학습한 후, Training Kit를 통해 7-segment와 LCD로 검증하였다 ... does 디지털 시계 ● 시, 분 및 초를 표시하는 디지털 시계 ■ 초 ● 입력 클럭을 분주하여 1초 단위로 상승 ● 59초에서 60초로 넘어 갈 때 1분 카운트를 발생, 초는 00으로 ... 이번 실습 시간을 통해 디지털 시계의 원리를 이해하였으며, 시계 작성에도 컴퓨터 코딩이 필요한 것을 알게 되었다. 마지막 실습 까지 도와주신 조교님들 수고하셨습니다.
    리포트 | 20페이지 | 3,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • 파워포인트파일 VHDL 디지털시계 발표 자료
    완성했다 처음 디지털 시계를 기말고사를 대신하여 평가한다는 교수님의 말씀을 듣고 VHDL에 대한 얕은 지식만을 가지고 있던 저희는 망망대해를 걸어가는 기분이었습니다. ... 하지만 1주가 지나고 2~4주가 지나면서 점점 VHDL를 이용하면서 시계를 제작해가는 저희의 모습을 발견하게 되었고.ow} ... com = 11111111 ; end if; end if; end process; end sample; 동 작 화 면 사 진 동 작 화 면 사 진 제작 후기 위의 제작 과정을 통해 디지털시계
    리포트 | 29페이지 | 1,000원 | 등록일 2014.03.23
  • 파일확장자 VHDL 디지털 시계
    VHDL을 이용하여 시계, 알람, 타이머, 스톱워치 기능을 설계하였습니다. 사용자로부터 입력을 받을 수 있으며, 이를 LCD로 출력합니다.
    리포트 | 63페이지 | 3,000원 | 등록일 2010.12.07
  • 파워포인트파일 VHDL 디지털 시계(소스,시뮬레이션,설명)
    d gital clock 2v Index Clk_div Dclk_2v Bcd_seg dclk waveform clok div Generic 을 이용하여 n 을 99 로 정의한다 입력 : clkin 출력 : clkout Clkin 에 의해서 clkout 값이 영향 받음 ..
    리포트 | 16페이지 | 1,000원 | 등록일 2014.12.18
  • 한글파일 VHDL을 이용한 디지털시계설계
    전체적인 디지털시계설계 소개 - VHDL 구문을 이용하여 디지털시계를 설계하는 것이며 7-Segment의 동작원리에 대해서 이해하고, VHDL을 이용한 7-Segment 제어 방법을 ... VHDL을 이용한 디지털시계 4. VHDL Code 5. 실험 결과 및 분석 6. 실험방법(트레이닝 키트) 7. 결론 및 느낀점 1. ... 디지털시계의 설계 이론 1) 전체적인 디지털시계 설계 ◇ 오전/오후, 시, 분, 초, 시간설정기능을 나타내는 디지털시계 설계. ◇ 각 카운터마다 1이 증가하는 시점이 다르기 때문에
    리포트 | 31페이지 | 1,000원 | 등록일 2011.12.30
  • 파일확장자 vhdl_응용논리_디지털시계
    설계 목표 VHDL으로 프로그램 작성 후 이를 알테라DE2 보드로 실현시킨다. 7-세그먼트를 통해 기본적인 시계기능을 100분의 1초를 만들어서 구현한다. ... : EP2C35F672C6N• Display : 7-Segment, LED, 스위치• Quaruts II Web Edition (2) 설계 Specification• 일반 시계
    리포트 | 18페이지 | 1,500원 | 등록일 2011.10.24
  • 한글파일 VHDL를 이용한 디지털 시계
    이번 실험은 디지털 시계를 구성하는 것으로 4MHz의 오실레이터 clock을 분주하여 시계를 제작해야 된다. ... ; ( VHDL Modual - File name : "digital_clock " 로 지정 ) - entity문 entity의 이름은 digital_clock로 하고. port를 ... 과 목 : 논리회로설계실험 과 제 명 : 디지털 시계 담당교수 : 김 종 태 학 과 : 전자전기공학 학 년 : 3 학 년 학 번 : 이 름 : 제 출 일 : 08. 05. 21 Introduction
    리포트 | 19페이지 | 1,000원 | 등록일 2010.05.27
  • 한글파일 vhdl 디지털 탁상 시계 설계
    2010학년도 과목 교수 이름 학번 설계작업서 성결대학교 정보통신공학부 모둠 설계제안서(계획서)-목표 순서 항목 평가 설계의 목표 ● 설계목표 : VHDL 디지털 탁상 시계 구현. ... ● 동작설명 : 현재 시각 표시(7-segment) Stopwatch 기능 알람 기능(전용 전등 점등) 발표자료 자료조사 ● 시장조사 : 전등 점등 기능을 갖춘 디지털 탁상시계는 ... 쉬운 시계.
    리포트 | 34페이지 | 3,000원 | 등록일 2010.12.30
  • 한글파일 VHDL Digital Alarm Clock 디지털 알람 시계
    digclock.vhd Library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity digclock is port( CLK :in std_logic; RST :in std_logi..
    리포트 | 17페이지 | 5,000원 | 등록일 2011.06.14
  • 한글파일 VHDL의 활용(_디지털시계의 설계)
    디지털시스템의 설계를 위한 VHDL의 기본과 활용 VHDL의 활용 [ 디지털시계(digital watch)의 설계] ▣ 제1절 목표,구성 및 동작 ? 설계의 목표 ? ... 디지털시계의 동작 ? 모드선택 : 시간표시, stop_watch, 초/분/시 설정모드가 반복하면 설정 ? ... 시간(time)표시 기능, 시간수정, 스톱워치(stop watch) 기능의 디지털 시계 설계 ? 모드선택과 시간수정은 버튼이용, 시간표시는 7_segment이용 ? 구성 요소 ?
    리포트 | 12페이지 | 1,500원 | 등록일 2010.06.13 | 수정일 2017.12.22
  • 한글파일 VHDL 을 이용한 디지털 시계 설계
    디지털 시계의 기능 1) 기본적인 시계 구현 - 기본적인 시계의 경우 8개의 7-Segment를 이용하여 시, 분, 초 1/100초를 각각 2개씩 나타내도록 사용하였습니다. ... 설계한 디지털시계시계기능, Stop Watch기능, 달력기능, 그리고 알람 기능을 가지고 있으며, 각각의 기능 등을 선택하는 MODE와 각 기능에 있어서 세부 사항을 조절하는 SUB_MODE ... 달력을 표시 하고 각각의 값을 설정 할수 있도록 하며, Stop Watch는 시작과 정지 모드를 가지고 정지시 정지한 시간에서부터 다시 시간이 흘러가게 되는 기능을 가진 디지털 시계
    리포트 | 34페이지 | 3,000원 | 등록일 2010.06.03
  • 한글파일 VHDL실습 디지털시계, 1초 생성기, 60초 카운터 등
    Symbol을 이용한 설계 - VHDL디지털 시계를 설게한 것을 위에 보이는 Project로 묶어 각각 하나의 심볼파일로 만들어 주어야 한다. ... 차 례 1초 생성기 60진 카운터(초, 분) 12진 카운터(시간) FND Decoder & 2x1 Mux TOP Design_Vhdl TOP Design_Schematic ●디지털 ... RTL VIEWER 결과 Top Design-Vhdl -D Component를 이용한 시계설계 → 디자인 파일 추가 - 시계를 설계하기 전, 저장할 폴더 안에 지금까지 만든 초 생성기
    리포트 | 16페이지 | 3,500원 | 등록일 2015.05.30
  • 한글파일 [디지털시계]VHDL을 이용한 디지털 시계
    **디지털 시계와 stopwatch 소스 ** library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL ... then tmp_sw1 ... 10, seg => seg_10); seg_01_ten : int2seg1 port map ( int => dec_01, seg => seg_01); end Behavioral;
    리포트 | 13페이지 | 2,500원 | 등록일 2008.06.14
  • 파일확장자 디지털 전자 시계 VHDL (Flowrian 을 이용한 논리 설계 실험 )
    구현 - 알람 , 스탑워치 , 시간변경 , 시간변경(다른나라 ) 직접 책보면서 구현 , 작동합니다.
    리포트 | 4,000원 | 등록일 2013.12.14 | 수정일 2013.12.16
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업