• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(444)
  • 리포트(407)
  • 시험자료(14)
  • 자기소개서(13)
  • 서식(4)
  • 논문(2)
  • 방송통신대(2)
  • 이력서(1)
  • ppt테마(1)

"Logical-Event" 검색결과 161-180 / 444건

  • 한글파일 [7주차] FSM
    state ... --Inputs signal clk : std_logic := '0'; signal rst_n : std_logic := '0'; signal mode : std_logic := ... ; rst_n : IN std_logic; mode : IN std_logic; cnt : OUT std_logic_vector(2 downto 0) ); END COMPONENT;
    리포트 | 9페이지 | 2,000원 | 등록일 2012.06.30
  • 한글파일 VHDL을 이용한 자동판매기의제어
    : std_logic; -- 스위치 입력 감지 signal s_push_sw : std_logic_vector (1 downto 0); signal repay : std_logic ... 눌려 졌는지를 감시합니다. process (clk) begin cess (clk) variable cnt : integer range 0 to 1023; begin if clk'event ... ; money : out integer range 0 to 99; -- 남은 돈 led_item : out std_logic_vector(3 downto 0); led_repay :
    리포트 | 16페이지 | 1,500원 | 등록일 2011.12.30
  • 한글파일 PWM
    (매번 발생하는 event마다 인터럽트를 발생할 필요가 없을 경우 prescale기능을 이용하여 몇 번의 event마다 인터럽트가 발생할 지를 설정할 수 있다.) - 데드밴드 유닛을 ... continuous, 이 두 가지 동작 모드를 지원하는데 one shot mode는 에러를 감지해 PWM핀 상태가 바뀌면 개발자가 다시 바꿀 때 까지는 해당하는 PWM logic을 ... (ET) 서브 모듈 - TB, CC 모듈이 생성하는 이벤트들을 입력 받아, 인터럽트나 ADC 시작 신호(SOC)를 생성하는 역할을 한다. - event prescale 기능으로 몇
    리포트 | 4페이지 | 1,000원 | 등록일 2012.06.30
  • 한글파일 [VHDL]실험14. 주파수 분주 카운터
    또한 클럭의 "한 주기"라는 것은 0->1,1->0으로 바뀌는 것 까지가 한주기이다. 그러므로 clkout의 한주기를 만들어 주기 위해서는 0, 1값을 바꿔주어야 합니다. ... elsif clk'event and clk='1' then ?????????? ????if cnt=5 then ???????? ????????? ... clk: in std_logic; ??????????? reset: in std_logic; ? ??????????? clkout: out std_logic ?????????
    리포트 | 5페이지 | 1,000원 | 등록일 2011.06.08
  • 한글파일 VHDL을 이용한 엘리베이터 설계
    If clock has event and is '1', the algorithm operates. elsif iClk'event and iClk = '1' then --Algorithm ... 구현 --Library declaration library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all ... ); end component; --input signal declaration signal clk: std_logic; signal rst: std_logic; signal in_floor
    리포트 | 6페이지 | 4,000원 | 등록일 2011.07.05 | 수정일 2014.10.22
  • 워드파일 기업 제출용 영문 이력서 + 자기소개서 입니다. GM을 노려서 썼지만 다른 곳도 쓸수 있습니다.
    to build up my career in automobile technology, so I took classes such in electronic circuit theory, logic ... such as nursing homes and orphanages, but the most memorable volunteering was when I coordinated an event ... Nevertheless, as a senior of the club I managed to assign all the volunteers to a proper section and the event
    자기소개서 | 6페이지 | 3,000원 | 등록일 2012.10.12
  • 워드파일 Naturalism and Nihilism
    Naturalism leads to Nihilism when consistently pressed to the logic of its presuppositions. ... Fifth, history is a linear stream of events linked by cause and effect but without an overarching purpose ... Therefore, nihilism- the direct result of naturalism- is an unlivable and perhaps impossible worldview
    리포트 | 3페이지 | 2,000원 | 등록일 2014.06.10
  • 한글파일 VHDL 계산기 소스코드 및 보고서
    CLK'event then if load_operand1 = '0' then --push the operand button if operand < "1010" then reg_file ... : in std_logic; load_operand2 : in std_logic; load_plus : in std_logic; load_minus : in std_logic; calculate ... Begin if FPGA_RSTB ='0' then cnt '0'); data_out
    리포트 | 16페이지 | 4,000원 | 등록일 2010.07.09
  • 한글파일 VHDL을 이용한 엘리베이터(승강기) 설계 프로젝트
    [VLSI 설계 Team Projet Final Report] 과 제 명 엘리베이터 설계 담당교수 제출일 2011-12-17 팀 원 학 번 이 름 수행과제 개요 과제 목적 및 해결하고자 ... ,base_down1,ground_down1,ground_down2,ground_down3,full) begin if clk'event and clk='1' then if full ... 본론 - 주요 기능 1. 엘리베이터의 이동 2. 엘리베이터의 내부, 외부 버튼 제어 3. 정원이 초과시 BEEP소리와 함께 엘리베이터 움직이지 않음 4.
    리포트 | 19페이지 | 5,000원 | 등록일 2011.12.17
  • 한글파일 디지털시계
    : in std_logic;--stop_watch의 시작, 정지버튼 sw_f2 : in std_logic;--stop_watch의 초기화 버튼 hour : out integer range ... : in std_logic;--1kHz 클럭주파수 mode : in integer range 4 downto 0;--시계의 동작모드가 1일 때 stop_watch 표시 sw_f1 ... : out integer range 0 to 9 ;--분 상위 숫자 min1 : out integer range 0 to 5 ;--소수점 point : out std_logic);
    리포트 | 8페이지 | 1,000원 | 등록일 2009.12.27
  • 한글파일 PRACTICAL ENGLISH LANGUAGE TEACHING Chapter 10 summary
    To involve students rearranging events or pieces of information into their logical order. (11) Ranking ... Content-based instruction 3. ... Content-based instruction takes a rather radical departure from this approach since it allows the choice
    리포트 | 3페이지 | 1,000원 | 등록일 2013.10.24
  • 파워포인트파일 Korea
    country-Korea International events IT industry Hangul Kimchi Spirit of unity [Hangul] ①Hangul is the ... “Hangul as the most logical language writing system in the world. ... ③When we have a hard time politically or economically and we have a special event, we are always united
    리포트 | 14페이지 | 1,500원 | 등록일 2011.12.28
  • 한글파일 논리회로설계실험 프로젝트 라인트레이서
    배열은 연결 상태가 고정되어 있으며, 출력을 발생하는 OR배열은 퓨즈를 이용하여 프로그래밍 할 수 있도록 구성된 PLD(Programmable Logic Device) (4) 적외선 ... 하지만 빛이 흰색 물체에 닿았을 경우에는 반사되어 수광센서로 도달이 가능하다. (5) 카운터 어떤 이벤트(event)의 발생을 나타내는 입력 신호를 카운트하고, 그 값을 출력하는 순차회로 ... 모터 클락이 바뀔때마다 A - B - NA - NB순서로 모터를 돌려주었는데 우측과 좌측 모터가 도는 방향이 같기 때문에 우측은 NB - NA - B - A 순서로 모터를 돌려주었다
    리포트 | 13페이지 | 2,000원 | 등록일 2015.04.17
  • 워드파일 SAT학원 추천 강남 미국 대학입학 에세이 작성법 Admission Essay writing (SAT 전문학원 인터프렙의 여름 SAT특강 Essay 자료)
    Your essay should have a main idea and progress in a logical way. 2. Do not explain your essay. ... Make one circle represent each of the following: what you value most, the most significant events in ... The college essay is not the place for the five-paragraph essay, the three-paragraph essay, or the signposting
    리포트 | 14페이지 | 1,000원 | 등록일 2014.03.09
  • 한글파일 vhdl을 이용한 6비트 업다운 카운터
    (6-bit up down -Count) LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_signed.ALL; use ... CountOut ... )then if (up = '1')then TMP
    리포트 | 1페이지 | 1,000원 | 등록일 2010.04.07
  • 한글파일 VHDL을 이용한 Elevator system 설계
    Counter 동작 결정 process(clk_out,reset) begin if(reset ='0') then counter ... , reset ) begin if ( reset = '0' ) then t ... - 선택한 층에 도착할 때 문이 열리고 다시 닫힘 - LED를 이용해서 도어의 개폐를 표현 리 셋 : Elevator를 초기 동작 상태로 만듬 (1층) 6.
    리포트 | 26페이지 | 3,000원 | 등록일 2010.10.16
  • 파워포인트파일 경영분석manual
    문제해결의 기본 주 관 적 Fact - Base 문제제기 사고 분석 판단 해결책 임시모면 Zero - Base App조달 - 조립 - 지역 PR - 상품전시 - Demo - Event ... 2차 Logic Tree 작성 2차 Logic Tree 작성 1차 Issue 추출 1차 Logic Tree 작성 문제해결을 위한 Logic Tree작성 문제해결 Step 정보수집 ... 문제해결 프로세스(Logic Tree) 3. Issue Analysis Process 4. Pyramid Structure(논리구성법) 5. Interview 기법 Ⅳ.
    리포트 | 213페이지 | 6,900원 | 등록일 2012.02.24
  • 한글파일 도서대출에 관한 디지털시스템 보고서
    (13 DOWNTO 0); SIGNAL DOT_SCAN0 : STD_LOGIC_VECTOR (9 DOWNTO 0); PROCESS(CLK, B(0)) BEGIN IF CLK'EVENT ... TO 5);-- 책 (6권) CLK : IN STD_LOGIC;-- 클럭 DOT_D : OUT STD_LOGIC_VECTOR (13 DOWNTO 0);-- 연체표시 정의 DOT_SCAN ... : OUT STD_LOGIC_VECTOR (9 DOWNTO 0);-- 연체표시 Y : OUT STD_LOGIC_VECTOR(0 TO 5)-- LED출력 (6개) ); END BOOK
    리포트 | 14페이지 | 1,000원 | 등록일 2010.06.12 | 수정일 2015.05.19
  • 파워포인트파일 SAT학원추천 : SAT 고득점전략
    technology, current events, or your experience or observation. ... Support your position logically with examples from literature, the arts, history, politics, science and ... Great leaders-and these are exceptionally rare, especially today-represent the best values of the people
    시험자료 | 6페이지 | 1,500원 | 등록일 2014.04.01
  • 한글파일 REALTIME OS
    event_flag는 logic AND/OR를 써서 set이나clear할 수 있다. 또한 자동으로 reset될 수 있다. ? ... 18.Real-Time OS의 개념 ,활용, 선정, 설계방법에 대하여 설명하시오? ... 즉, real-time OS kernel이 쓸 stack을 잡아주는 것이다.
    리포트 | 14페이지 | 1,000원 | 등록일 2011.07.05
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 07일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:55 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기