• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(444)
  • 리포트(407)
  • 시험자료(14)
  • 자기소개서(13)
  • 서식(4)
  • 논문(2)
  • 방송통신대(2)
  • 이력서(1)
  • ppt테마(1)

"Logical-Event" 검색결과 101-120 / 444건

  • 한글파일 논리회로실험 - 제 8장 VHDL의 순차 논리 회로 설계에서 Finite state machine을 설계 결과보고서
    X를 입력으로 놓고 Z를 입력으로 놓았을 때 X에 다음 std_logic; Z_out : out std_logic); end string_recognizer; 일단 입력과 출력 포트를 ... , load) begin if rst = '0' then st_out ... (rst, load) begin if rst = '0' then st_out
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • 한글파일 d 플립플랍 8비트레지스터
    CLK'event and CLK = '1' then Q ... flops》 - CLK'event의 의미를 알지 못했음. - test bench 구현 때 초기 값을 주고 매시간 클락 신호를 주는 코딩이 다소 어려웠음. 《8bit register ... D-flip flops D_FF Library IEEE; use IEEE.std_logic_1164.all; entity d_ff is port( D, CLK : in std_logic
    리포트 | 5페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • 한글파일 논리회로실험 - 제 4장 12가지의 연산을 수행하는 ALU를 설계 결과보고서
    downto 0); variable cnt : integer range 0 to 50; begin if clk'event and clk='1' then if rst='1' then ... in std_logic_vector(m_plicand_width-1 downto 0) ; m_plier : in std_logic_vector(m_plier_width-1 downto ... ---------------------------------------------------------- testbench library IEEE; use IEEE.STD_LOGIC
    리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • 한글파일 09 논리회로설계실험 예비보고서(fsm)
    S5 - 0 S3 S3 S2 S4 - 0 S4 S1 - - - 1 S5 S3 - - - 1 (6) VHDL 코딩 자판기 설계 library IEEE; use IEEE.STD_LOGIC ... _1164.ALL; entity vending is port ( Reset : in STD_LOGIC; CLK : in STD_LOGIC; X : in STD_LOGIC (1 downto ... STATE; begin process(Reset,CLK) begin if Reset = ‘1’ then STATE_C
    리포트 | 6페이지 | 2,000원 | 등록일 2014.09.27 | 수정일 2016.03.26
  • 파워포인트파일 VHDL 디지털시계 발표 자료
    발생된다 1 주차 완성 소스 process(clk_b,res) begin if res='0' then dec_e = 0000 ; dec_g = 0000 ; elsif clk_b'event ... 100000 then jb6 = bt; end if; end process; 4 주차 완성 소스 process (clk,w1,w2,w3,w4,w5,w6) begin if clk'event ... std_logic_vector(3 downto 0); Signal clk_a: std_logic_vector (2 downto 0); Signal jb1,jb2,jb3,jb4,jb5
    리포트 | 29페이지 | 1,000원 | 등록일 2014.03.23
  • 한글파일 논문영어초록-A study on use of sports facilities and roles of government
    It suggested based on logic that more options should be given to national people about public services ... this country doesn't have enough sport facilities for holding the events. ... In Korea, it is difficult of someone or some group, even institution to attend the events easily because
    리포트 | 2페이지 | 3,000원 | 등록일 2014.05.17
  • 한글파일 비동기카운터 클럭
    《ASYNC_CNT》 - 이전에 코딩하였던 Full adder에서 Half adder를 연결하는 source와 비슷하다고 생각해 참조하여 코딩. - 별다른 문제없었음. ... (2 downto 0):="000"; begin process(clk, rst) begin if rst='1' then if clk'event and clk='1' then cnt_out ... end if; end process; process(clk, rst) begin if rst='0' then temp
    리포트 | 6페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • 워드파일 Summary - Shock Doctrine
    The politics behind all those events she described were hardly me ... Using the logic of neoliberalism, wherever there is a crisis, “disaster capitalists” stepped in and turned ... At least, one needs to do an in-depth investigation which also includes close inquiry about political
    리포트 | 3페이지 | 1,000원 | 등록일 2016.07.10
  • 파워포인트파일 Lee Ufan 이우환의 작품과 작품세계
    This event in Versailles is annual national event by French government and Lee Ufan is the second Asian ... Study of His Philosophy Application of Lee Ufan’s philosophy We can apply this logic to the company and ... The four large-scale paintings at Lisson Gallery combine together to form a chapel-like environment within
    리포트 | 15페이지 | 2,000원 | 등록일 2015.09.15
  • 한글파일 the lady's maid 영어감상문
    It makes this story logical to the readers. ... Second, it does not follow the real time-order. ... First, this story has a First-person point of view.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.08.30 | 수정일 2016.05.19
  • 한글파일 논리회로설계 프로젝트 디지털 시계2 (7-segment)
    da1 ... if; end if; --se2 ... -- segdata : out std_logic_vector ( 31 downto 0 )); segdata_sec0 : out std_logic_vector(6 downto 0);
    리포트 | 14페이지 | 2,000원 | 등록일 2013.12.08
  • 한글파일 7세그먼트
    않는 카운트 변수(variable cnt)를 signal로 선언해 줘야함. - rising_edge (CLK_4M) 과 CLK_4M'event and CLK_4M='1' 은 모두 ... < 7-Segment 제어기 설계 > 7-Segment Library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all ... Test-bench Library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity tb_seg
    리포트 | 4페이지 | 1,500원 | 등록일 2012.10.30 | 수정일 2014.08.14
  • 한글파일 FPGA VHDL up & down counter (업다운카운터)
    clk'event and clk ='1' -- clk신호가 1로 변할 때 then case updown is -- updown 에 따라 카운트 when "10" => Count : ... _1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity updown_counter4 is port ... updown : std_logic_vector(1 downto 0); begin updown(1) := up; -- up과 down을 개별로 경우의 수를 따지기엔 표현이 어려워서
    리포트 | 3페이지 | 1,000원 | 등록일 2013.06.23
  • 한글파일 [5주차] flip flop
    elsif(clk='1' and clk'event) then -- clk='1' and clk'event는 clk이 0에서 1로 변화하는 순간을 말한다. ... ... 0); q : out STD_LOGIC_VECTOR(3 downto 0)); -- mode, pi, q는 array형식을 필요로 하므로 STD_LOGIC_VECTOR형식으로 선언
    리포트 | 17페이지 | 2,000원 | 등록일 2012.06.30
  • 워드파일 The Lottery, Shirley Jackson, Reader Response Log, Patterns
    Subjective Comments Even now, 2014, we still have a lot of non-logical rituals over the world. ... We have to see essential things, violence, of events without any meaningful reasons. ... generation should realize that this is not a tradition or a custom but just unfair and unreasonable event
    리포트 | 2페이지 | 1,000원 | 등록일 2014.11.24
  • 한글파일 [토끼] VHDL로 구현한 [시계와 스톱워치가 내장된 자동차 연료 잔류량 표시기] 텀프로젝트
    RST : in std_logic; CLK : out std_logic; LED_17: out std_logic;-- LED turn on LED_15: out std_logic; ... -- 사용 스위치의 위치를 표시하기 위한 LED LED_14: out std_logic; LED_13: out std_logic; LED_12: out std_logic; LED_11 ... RST = '0' then tmp_clk '0'); elsif input_clk = '1' and input_clk'event then if tmp_clk = "1111*******
    리포트 | 43페이지 | 5,000원 | 등록일 2013.01.17 | 수정일 2020.07.10
  • 워드파일 [A+영문레포트] 코코샤넬의 글로벌화 과정, 마케팅,현지화전략
    III- The spectacular expansion of “Chanel” brand Internationally renowned The group Chanel led by Coco ... workshops appear then see a new form of organization: Luxury Groups, based on financial and industrial logic ... How Coco has adapted to the market and its environment A modern vision A historic event will facilitate
    리포트 | 6페이지 | 2,500원 | 등록일 2015.05.01 | 수정일 2015.09.20
  • 한글파일 Flip-flop and Counter Design
    through the logic. ... Reference -Contemporary Logic Design 2nd Edition (Randy H. ... -One use is to build finite state machines from electronic logic.
    리포트 | 5페이지 | 1,500원 | 등록일 2012.11.27
  • 한글파일 [토끼] 10진 카운터 VHDL 구현 및 합성, 분석 _ 상세 설명, 코드 기재
    1' and CLK'event then -- 상승 클럭에서 동작을 한다. if tmp_Counter_10_out = "1001" then -- 1001 즉 ‘9’가 될 때 tmp_Counter ... 10 is port( CLK: in std_logic; -- 입력선언 RST: in std_logic; -- 입력선언 Counter_10_OUT : out std_logic_vector ... 라이브러리 선언 use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; -- Entity body entity Counter_
    리포트 | 16페이지 | 5,000원 | 등록일 2013.01.16 | 수정일 2020.07.13
  • 한글파일 정보공학 기말 정리
    Traceability 추적성 -> use case view의 use case와 logical view의 use case를 realize relationship으로 연결. logical ... 중요한 동적로 구성됨. 4+1 view -> logical, implementation, process, deployment, use case view The logical view ... (시나리오는 use case에 대한 event of flow를 꿰뚫는 한 경로인 use case의 인스턴스) logical view의 use case는 use case view의 use
    시험자료 | 6페이지 | 2,000원 | 등록일 2012.06.08
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 07일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:23 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기