• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

디지털 논리회로 실험- 연산논리장치(ALU)

*봉*
개인인증판매자스토어
최초 등록일
2007.10.30
최종 저작일
2007.10
3페이지/한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

디지털 논리회로 실험- 연산논리장치(ALU)에 관한 실험 보고서입니다.
2쪽 반 가량 되고 내용은 충실하게 작성하였습니다.

목차

1.실험목적
2.관련이론
3.실험방법
4.참고서적

본문내용

■ 실 험 목 적
(1) 상용 연산논리장치의 기능을 이해한다.
(2) 상용화된 4비트 연산논리장치를 이용하여 두 수의 덧셈, 뺄셈 및 크기 비교를 실험함으로써 연산논리장치 동작응용의 다양성과 고급기능을 확인한다.
■ 관련이론
☆ 산술논리 연산장치
약자로 ALU라고 부르며 중앙처리장치의 일부로써 컴퓨터 명령어 내에 있는 연산자들에 대한 연산과 논리동작을 담당한다. 모든 컴퓨터의 데이터는 수치로 저장되므로 컴퓨터에서 발생되는 대부분의 처리는 수치를 비교하거나 수학적 연산을 수행하는 것이다. 그 외의 여러 데이터를 크기순으로 배치하거나 순서를 바꾸는 연산도 있다. 이러한 컴퓨터의 연산은 크게 산술연산(arithmetic operation)과 논리연산(logical operation) 2종류로 나누어진다
◎ 산술연산 : 덧셈, 뺄셈, 곱셈, 나눗셈을 포함한다.
◎ 논리연산 : 한 수치가 다른 수치와 ‘같다’, ‘크다’, ‘작다’, 등을 결정하는 비교를 포함한다. 또한 모든 논리 연산에는 반대되는 연산이 있다. 예를 들어, ‘크다’의 반대로 ‘작다’가있다.


☆ 산술논리연산장치(ALU)의 수행 연산 종류
가산과 감산뿐만 아니라 입력 자료상의 AND와 OR 같은 논리 연산을 수행한다. 74181 4-비트 ALU는 16종류의 단순연산이나 16종류의 논리연산을 선택할 수 있는 S3~S0 의 기능선택 입력 4_비트를 가진다. 모드제어 입력 M이 HIGH 일 때, 모든 캐리는 금지되고, ALU는 AND, OR, ex-OR, ex-NOR와 같은 논리연산을 수행한다. M이 LOW이면 캐리가 가능해지고, ALU는 가산/감사, 비교, 제곱과 같은 산술연산을 수행한다,

참고 자료

디지털 회로 실험 - 한양대학교 출판
디지털공학 - 권장우의 5명 공저
디지털 공학 - 김기준, 배상준, 조순계 공저
*봉*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 산술논리연산회로 실험보고서 9페이지
    실험기자재 및 부품 4.1 사용기기 - 오실로스코프 - 디지털 멀티미터 - ... ALU의 내부 구성 요소들 산술 연산장치 : 산술 연산인 +, - , × ... ,÷)을 수행. - 논리 연산 장치 : 논리 연산들(AND, OR, XOR
  • 한글파일 실험6. 산술논리연산회로 예비보고서 5페이지
    . 2.1.1 기수법-단순연산 산술논리장치는 반드시 디지털 회로의 나머지처럼 ... 실험 목적 본 실험을 통해 ■ 산술논리연산회로에 대해 알아본다. ■ 산술논리연산회로를 ... ▣ 실험6. 산술논리연산회로 1.
  • 한글파일 6장 가산기와 ALU 그리고 조합논리회로 응용 예비 9페이지
    산술논리 연산장치 1) 산술논리 연산장치 ALU는 사칙연산을 비롯하여 여러 ... 디지털공학실험 ? ... 6장, 가산기와 ALU 조합논리회로 응용 예비보고서 1. 실험목적 가.
  • 파일확장자 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU 9페이지
    중앙처리장치 속에서 연산하는 부분을 ALU라고 한다.이것은 산술연산논리연산을 ... (Arithmetic Logic Unit) : 산술 논리 장치ALU : ... 8가지 기능* 논리연산 회로- 게이트와 멀티플렉서로 구성- 각 게이트가
  • 한글파일 Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 7segment(fnd) 4페이지
    , 논리곱, 논리합 같은 논리연산을 계산하는 디지털 회로이다. ... /examples/2bit-alu David Buchla, 『디지털공학 실험 ... ALU(Arithmetic Logic Unit) 산술 논리 장치(arithmetic
더보기
최근 본 자료더보기
탑툰 이벤트
디지털 논리회로 실험- 연산논리장치(ALU)
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업