• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL 실습(8bit Counter, State Machine) 결과

롤랑
개인인증판매자스토어
최초 등록일
2021.01.06
최종 저작일
2014.05
7페이지/한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

목차

1. 8Bit Counter
2. State Machine

본문내용

☞ 이번에 설계한 8비트 카운터는 4비트 카운터 2개를 이용하여 구성하였다. 2개 중 왼쪽(앞쪽)에 있는 4비트 카운터의 Carry값이 1일 때만 동작하게 한다. 즉 오른쪽(뒷쪽) 4비트 카운터의 상태가 “1111”일 때 T가 1이 들어오면 Carry가 발생 해 다음 카운터는 Carry값 1을 T값으로 받으면서 왼쪽 4비트 카운터가 동작하도록 한다. 4비트 2개를 8비트로 나타내기위해 &를 사용하였고 Count에 변환하여 출력이 된다. 그리고 입력 신호 CLRN = '0' 일 땐 무조건 초기화가 되어야 하므로 출력은 “00000000” 이 되며 LDN='0' 일 때는 Q(출력) <= D(입력), 즉 입력한 값을 출력한다. 카운터가 정상적으로 동작을 하려면 CLRN과 LDN이 모두 ‘1’이고 P와 T1이 모두 ‘1’이여야 한다. 시뮬레이션을 보면 진리표와 일치함을 알 수 있다.

<중 략>

☞ State Machine은 어떤 상태에서 입력에 따라 다음 상태와 출력이 결정된다. 이번에 설계한 State Machine에서는 현재 상태가 S0일 때 입력 X = 0에서 다음 상태가 S1이 되고 출력은 Z = 1이 되며 입력 X = 1에서 다음 상태가 S2가 되고 출력은 Z = 0가 되게 동작한다. 이렇게 순서대로 진행되다가 상태가 S5, S6가 되면 다음 상태가 S0이 되어 계속해서 상태가 반복하게 된다. 시뮬레이션을 보면 진리표와 일치함을 알 수 있다.

참고 자료

없음
롤랑
판매자 유형Silver개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 VHDL실습 상태머신 설계 18페이지
    VHDL 레포트 1.서론 (1) state machine (2) BCD ... 8진 카운터로써 비트열중 하나씩만 변화한다. (4) Dual Counter ... Stepping Motor (6) ADC제어기 (7) ADC Model 2.실습내용
  • 워드파일 서울시립대학교-전자전기컴퓨터설계실험2-제08주-Lab07_Post 10페이지
    결과, 4-bit Up Counter가 정상 동작함을 확인하였다. 8-bit ... Up/Down Counter Pre-Lab과의 비교 결과, 8-bit Up ... , 8-bit Up/Down Counter, Moore Vending Machine
  • 한글파일 VHDL을 이용하여 다양한 state machine 설계 17페이지
    VHDL실습 Report State Machine 설계하기 제출일 ... 실습명 : State Machine 설계하기 2. ... 실습결과 1) BCD의 DE2보드 동작확인 핀설정은 다음과같이하고 다운로드하면
  • 파워포인트파일 [논리회로]자판기 구현 19페이지
    Material Counter 4 to 8 DECORDER 3 to 6 ... 특히 수업시간에 배운 내용들을 직접 실습을 수행 함으로서 더욱 명확히 회로의 ... 결과를 얻을 수 있을 것이다!
최근 본 자료더보기
탑툰 이벤트
VHDL 실습(8bit Counter, State Machine) 결과
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업