• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL을 이용한 교통신호등 설계

*승*
개인인증판매자스토어
최초 등록일
2010.12.06
최종 저작일
2010.12
6페이지/한글파일 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

Quarters툴을 이용하여 VHDL로 설계한 교통신호등입니다.
소스코드와 각부의 주석이 포함되어 있습니다.

소스코드를 바탕으로 FPGA보드에 포팅하여 실험하는 목적입니다.

목차

없음

본문내용

VHDL을 이용한 교통신호등(Traffic) 설계

프로젝트 목표: VHDL을 이용하여 교통신호등을 설계한다.
개발도구: FPGA(ALTERA)BOARD, QuartursⅡ



//신호등 구동을 위한 포트
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic unsigned.all;
use ieee.std_logic_arith.all;

entity traffic is
port
(
clk : in std_logic;
flicker : in std_logic;
flick_sw : in std_logic;

참고 자료

없음

자료후기(1)

*승*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 교통신호등 제어기 VHDL 설계 4페이지
    교통신호등 제어기 설계 Ⅰ. 수행 및 제출(1) Ⅱ. ... 그리고 이 신호들을 segment를 이용하여 신호등 두 개로 6부분으로 나누어서 ... 수행 및 제출(4) 교통신호등 제어기에는 대기 모드(standby mode
  • 파일확장자 VHDL을 이용한 교통 신호설계 14페이지
    VDHL을 이용하여 교통 신호등을 설계한 프로그램 입니다. (4거리) 빨간불 ... , 초록불, 노란불이 자동으로 바뀌며 신호등에 불이 들어와 있는 동안 ... 7segment에는 신호가 바뀌기까지 얼마의 시간이 남아있는지가 출력됩니다
  • 한글파일 VHDL을 이용한 교통신호기제어 10페이지
    교통신호기 제어의 설계 1) 설계의 목표 ◇ 사거리신호를 기준으로 특정 시간을 ... 교통신호기 제어의 설계 2. 하드웨어 구조 3. ... 결론 및 느낀점 - 지금까지 교통신호기의 제어에 대해 VHDL구문을 이해하고
  • 한글파일 [정보통신] VHDL을 이용한 신호등 제어 5페이지
    설계하고자 하는 교통 신호 제어기의 동작을 좀 더 상세하게 기술하면 다음과 ... 신호 제어기를 VHDL 모델링 및 합성을 통하여 설계하고자 한다. ... 합성된 회로의 동작은 VHDL netlist를 이용하여 검증하며, 전체 TLC의
최근 본 자료더보기
탑툰 이벤트
VHDL을 이용한 교통신호등 설계
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업