• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,029)
  • 리포트(2,003)
  • 자기소개서(19)
  • 시험자료(4)
  • 논문(2)
  • 이력서(1)

"오실로스코프의 동작원리" 검색결과 21-40 / 2,029건

  • 한글파일 [전기회로설계실습] 설계 실습 13. 발전기 원리 실험
    이번 실험을 통해 인덕터의 동작원리인 Faraday's Law를 실험적으로 확인하고 이를 통하여 발전기, 인덕터, 변압기를 실험적으로 이해할 수 있었다. ... 서론 자속 변화에 따라 생기는 전압 파형을 확인하는 과정에서 인덕터의 동작원리인 Faraday's Law를 실험적으로 확인하고 이를 통하여 본 실험은 회로 설계하는 데 있어 필수적인 ... 왜 이런 측정값이 나오는지 회로를 그려서 분석하라. 1) 10Ω 저항 값 : 9.848 [Ω]일 때의 전압파형 회로도 Oscilloscope 코일은 전원인 Source로써 동작하면서도
    리포트 | 8페이지 | 1,500원 | 등록일 2023.08.18
  • 파일확장자 [부산대 응용전기전자실험1] 4장. AD/DA 컨버터 응용 전기회로 결과보고서
    실험 방법1) 회로를 구성한 후 오실로스코프를 이용하여 출력파형을 관찰하고, 회로의 동작에 대해 설명하라 ... 실험 목적-A/D 변환기와 D/A 변환기의 원리동작을 이해한다.-기본적인 A/D, D/A 변환기 회로를 이해하고 응용력을 높인다.2.
    리포트 | 5페이지 | 1,500원 | 등록일 2024.03.15
  • 한글파일 전기회로설계실습 실습5(Oscilloscope, Function Generator 사용방법) 결과보고서
    Oscilloscope와 Function Generator 사용법 요약 : Oscilloscope와 Function Generator의 동작원리를 이해하고 사용방법을 익힌다. - 4.3.4 ... 이번 실험은 Function Generator와 Oscilloscope의 사용방법과 동작원리에 대해 이해하기 위한 실험이었다. ... 아래와 같은 결과를 얻을 수 있었으며, 위 장비의 사용방법과 동작원리에 대해 알아보고 익힐 수 있었다. 2.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.09.07 | 수정일 2020.09.15
  • 워드파일 정실, 정보통신기초설계실습2 10주차 결과보고서 인하대
    그림 6은 분주회로의 동작특성과 원리를 알기 쉽게 타이밍도로 나타낸 것이다. ... 플립플롭은 알려진 진리표대로 실험을 통해 확인이 되었고, 분주 회로의 경우 원리를 이해하고 주어진 회로를 구성해 오실로스코프로 확인해본 결과 주파수가 결과대로 나누어져서 결과가 출력되었다 ... 실험준비 장비 세팅 Function generator : pulse signal Oscilloscope Bread board, resister(560Ω), LED diod 실험결과
    리포트 | 4페이지 | 1,500원 | 등록일 2021.08.31
  • 파일확장자 [중앙대학교 전기회로설계실습] A+ 결과보고서 5. Oscilloscope와 Function Generator 사용법
    이 실험은 Oscilloscope와 Function Genarator의 동작원리를 이해하고 사용방법을 익히는데 목적이 있다.
    리포트 | 3페이지 | 1,500원 | 등록일 2023.03.13
  • 한글파일 7장 오실로스코프와 파형발생기 예비
    7장, 오실로스코프와 파형발생기 예비보고서 7.1 실험 주제 ? 오실로스코프의 동작 원리와 사용법을 익힌다. ? 파형발생기의 사용법을 익힌다. ? ... 이번 실험에서는 오실로스코프와 파형발생기의 동작원리와 사용법을 익혀보자. 7.3.1 오실로스코프 오실로스코프는 전기 신호의 파형을 화면에 시각적으로 표시하는 장치로, 파형을 이용하면 ... [그림 7-2]는 아날로그 오실로스코프의 동작 원리를 나타낸다. 전자총(?)은 금속을 가열하여 발생시킨 전자를 모아서 전자빔을 만드는 장치다. 수직 편향판(?)
    리포트 | 7페이지 | 1,500원 | 등록일 2021.01.06
  • 워드파일 일반 물리학 실험2 기말고사
    그 후 정류 회로를 통해 반파정류, 전파정류의 동작 특성을 이해합니다. 실험방법: 일단 실험을 위해 함수발생기를 연결시킵니다. 그 후 브래드 보드에 트랜스 포머를 연결시킵니다. ... (c) 실험원리: 쿨롱의 법칙을 기본으로 사용합니다. 전하 q1,q2가 있고. ... 그리고 브래드보드에 연결한 BNC악어클립선을 함수발생기와 오실로스코프에 연결합니다. 첫 번째 반파 전류 실험에서 오실로스코프를 이용해 필요한 전압과 전압파형의 값을 구합니다.
    시험자료 | 4페이지 | 2,000원 | 등록일 2023.11.15
  • 워드파일 다이오드 특성곡선 예비레포트
    다이오드 특성곡선 실험목적 전압과 전류사이에 Ohm의 법칙이 성립하지 않은 다이오드의 비선형 관계를 측정하고, 다이오드의 동작원리를 확인한다. ... 시뮬레이션 순방향 바이어스 특성실험 역방향 바이어스 특성실험 오실로스코프를 이용한 다이오드의 특성곡선 측정 ... 실험준비물 실험장비 직류전원 공급기, DMM(Digital Multi-meter), 오실로스코프, 함수 발생기 부품 ◇저항 500Ω: 2개, 1kΩ: 1개 ◇다이오드 Si(1N4148
    리포트 | 7페이지 | 1,000원 | 등록일 2022.12.03
  • 워드파일 [A+] 일반 논리게이트 응용 예비보고서
    특히 이번 3주차에서는 NAND Gate, NOR Gate, XOR Gate에 대하여 집중적으로 실험하고 동작원리를 이해할 목적이다. ... OR Gate, AND Gate, NOT Gate, NAND Gate, NOR Gate, XOR Gate 와 같이 논리회로에서 기본이 되는 게이트들의 기본논리 및 이론, 그리고 동작원리를 ... 오실로스코프와 회로를 연결하기 전에 오실로스코프의 전원이 켜져 있는지 확인해야 한다. 2.
    리포트 | 16페이지 | 2,500원 | 등록일 2023.08.15 | 수정일 2023.08.18
  • 파일확장자 중앙대학교 아날로그및디지털회로설계실습 설계실습 3. 스텝 모터 구동기 A+ 예비보고서
    * 사용장비 오실로스코프(Oscilloscope) : 1대 브레드보드(Breadboard) : 1개 파워서플라이(Power supply) : 1대 함수발생기(Function ... 실습목적 : 단극 스텝 모터(Uni-polar step motor)의 동작 원리를 이해하고 스텝 모터 를 조종하기 위한 범용 이동 레지스터 (Universal shift register ... 이를 바탕으로 BJT 트랜지스터와 범용 이동 레지스터를 이용하여 스텝 모터 구동기를 설계한 후 그 동작을 확인한다.
    리포트 | 21페이지 | 1,000원 | 등록일 2022.09.15 | 수정일 2022.09.22
  • 워드파일 [A+, 에리카] 2021-2학기 일반물리학실험2 멀티미터와 오실로스코프의 사용법
    실험제목멀티미터와 오실로스코프의 사용법2. 실험목적(Introduction)멀티미터, 오실로스코프의 원리동작 방법을 이해해본다.3. ... 방법(Method)3.1 이론 및 원리가. ... 함수발생기교류신호를 발생시켜 오실로스코프로 보내주는 역할을 하는 기기 오실로스코프함수발생기로부터 BNC케이블을 타고 입력된 신호를 디스플레이에서 보여준다.
    리포트 | 10페이지 | 2,000원 | 등록일 2022.02.27 | 수정일 2022.09.14
  • 워드파일 2023상반기 LG전자 합격 자소서
    각 소자의 동작원리와 전압 분배에 대한 이해를 높였습니다. ... 전공 혹은 교양 수강과목 (500자 ~ 1000자) 1) 아날로그 회로실험 A+ 브레드보드에 R, L, C 소자 및 MOSFET, BJT를 이용해 필터회로와 증폭기를 설계 하고 오실로스코프로 ... 회로 동작을 테스트했습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • 파일확장자 [예비보고서]중앙대학교 아날로그및디지털회로설계실습 Switching Mode Power Supply (SMPS)
    실습 목적SMPS (Switching Mode Power Supply)의 동작 원리와 회로 모듈을 이해하여 SMPS를 설계할 수 있는 능력을 배양한다.2-2. ... (Oscilloscope) : 1대브레드보드(Bread board) : 1개파워서플라이(Power supply) : 1대함수발생기(Function generator) : 1대점퍼선 ... 2개저항 20kΩ, 1/2W, 5% : 1개저항 5.1kΩ, 1/2W, 5% : 5개가변저항 10kΩ : 2개Diode SB540 : 2개Inverter 74HC04 : 1개사용장비오실로스코프
    리포트 | 6페이지 | 1,000원 | 등록일 2023.06.23
  • 파일확장자 중앙대학교 아날로그및디지털회로설계실습 설계실습 2. Switching Mode Power Supply (SMPS) A+ 예비보고서
    실습목적 : SMPS(Switching Mode Power Supply)의 동작 원리와 회로모듈을 이해하여 SMPS를 설계할 수 있는 능력을 배양한다. ... * 사용장비 오실로스코프(Oscilloscope) : 1대 브레드보드(Breadboard) : 1개 파워서플라이(Power supply) : 1대 함수발생기(Function
    리포트 | 4페이지 | 1,000원 | 등록일 2022.09.15 | 수정일 2022.09.22
  • 워드파일 경북대학교 기초전기전자실험 오실로스코프 실험보고서 [기계공학부]
    오실로스코프 - 오실로스코프(Oscilloscope)의 사용법 및 동작원리에 대하여 이해한다. - 함수 발생기(Function Generator)의 사용법 및 동작원리에 대하여 이해한다 ... 오실로스코프, 신호발생기 실험보고서 기계공학부 1. 실험 목적 1) 실험 6. ... 실험 장비 1) 오실로스코프 오실로스코프는 주기적으로 반복되는 신호를 전압 형태로 화면에 시현하는 장비이며, 물리적 신호를 전기적 신호로 바꾸어 전자적 신호의 파형을 시각화 하여 전압의
    리포트 | 11페이지 | 2,000원 | 등록일 2023.06.17 | 수정일 2023.12.15
  • 워드파일 전자회로실험_A+레포트_Diode Limter
    실험을 통해 병렬, 직렬 리미터 회로를 만들고 회로의 동작 원리와 다이오드와 DC 전원의 극성에 따라 리미터 회로의 동작이 어떻게 달라지는가를 알아본다. ... 다이오드는 각각 교류의 반 파장에서 리미터로 동작한다. ... 오실로스코프, DC전원, 함수발생기 연결 3. 진폭 5V, 주파수 1kHz로 설정 4. 출력전압, 파형을 측정 5.
    리포트 | 3페이지 | 2,000원 | 등록일 2024.04.04
  • 워드파일 Boost 컨버터 실험 예비보고서
    본 실험에서는 Boost 컨버터의 기본적인 동작원리를 고찰하고 실제 부품을 사용하여 회로를 구성하여 동작시켜 본다. ... 이를 통해 기본적인 전력변환회로의 설계와 동작 및 시험 방법에 관하여 익힌다. 2. ... 따라서 떨어져 있던 철을 끌어당겨 접촉하여 스위칭 동작이 가능하다. 특성에 있어서는 아래 표와 같은 차이가 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.02.21
  • 한글파일 정전류원 트랜지스터 레포트
    즉 트랜지스터는 전류 증폭기로 동작한다. 본 실습의 스위칭 회로는 접접이 없는 전자식 스위치로 동작한다. ... 트랜지스터의 세 가지 동작영역 위의 그림은 npn접합 트랜지스터로써 전자와 정공의 움직임을 알아야 BJT 의 동작을 이해할 수가 있다. ... 증폭되는 원리는 베이스-이미터간에 순방향을 베이스-콜렉터 간의 역방향을 주면서 전자와 양공이 한쪽으로 치우쳐가기 때문이다. - 이미터-베이스 사이에 약간의 전류를 흘리면, 이미터-컬렉터
    시험자료 | 14페이지 | 1,500원 | 등록일 2019.12.16
  • 한글파일 [전기전자실험]오실로스코프 실험보고서
    실험 목적 (1) 오실로스코프의 동작 원리에 대하여 이해한다. (2) 오실로스코프와 함수 발생기의 사용법을 익힌다. (3) 파형 관측, 전압, 주파수 및 위상 측정 방법을 익힌다. ... 원리&이론 ⑴오실로스코프의 동작원리 ⓐ‘전자총’에 의해 만들어진 전자빔을 작은 한 점에 모아, 큰 속도로 가속시킨다. ... 실험 결과 보고서 제목 : 오실로스코프 담 당 학 과 기계공학부 학 번 이 름 제출일 2017.09.26 [목차] 1. 실험 목적 2. 실험기구 및 장치 3. 원리&이론 4.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.12.17 | 수정일 2023.11.27
  • 워드파일 전자회로실험_A+레포트_BJT Common Emitter, Common Collector
    다른 증폭기 구조에 비해 중간 정도의 입력 저항, 큰 전압, 큰 전류 이득, 그리고 출력 저항을 가진다. - 동작 원리: 공통 이미터 증폭기에서 입력은 베이스-이미터 전압이고, 출력은 ... 이론에서 마이크와 스피커 사이의 원리에 대해 생각해보면, 스피커를 컬렉터로 보고 마이크를 베이스로 하는 공통 이미터 회로라고 가정할 수 있다. ... 실험부품 및 실험방법 실험부품: DC전원공급 장치, 오실로스코프, 함수발생기, 멀티미터, 브레드보드, 저항, 트랜지스터, 캐패시터((100F) 실험방법 I.
    리포트 | 7페이지 | 2,000원 | 등록일 2024.04.04
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업