• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,029)
  • 리포트(2,003)
  • 자기소개서(19)
  • 시험자료(4)
  • 논문(2)
  • 이력서(1)

"오실로스코프의 동작원리" 검색결과 121-140 / 2,029건

  • 한글파일 실험23_계수기 회로_결과레포트
    그림 23,2 Clock과 출력 ,의 파형 ⑵ MOD-2 {} ^{n} 계수기 회로의 구동 원리를 통하여, Clock의 주기적인 신호가 JK FF을 거치면서 주기가 2배씩 증가함을 ... 그림 23.1 2-bit 계수기 계수기내의 JK FF은 외부 CLK신호로 동작하게 된다. ... JK FF의 동작은 CLK가 인가될 때 NGT(neagtive edge)에서 구동된다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • 워드파일 3. 스텝 모터 구동기 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    실습 목적 - 단극 스텝 모터 (Uni-polar step motor)의 동작 원리를 이해하고 스텝 모터를 조종하기 위한 범용 이동 레지스터 (Universal shift register ... 또한 두 device 를 연결시 한 쪽의 전류 출력이 부족할 경우 ULN2003AN Darlington 회로의 원리를 활용한다면 부족한 전류를 증폭시켜 나머지 device 가 동작하도록 ... (Oscilloscope) 브레드보드(Bread board) 파워서플라이(Power supply) 함수발생기(Function generator) 점퍼선 1대 1개 1대 1대 다수 4
    리포트 | 9페이지 | 1,000원 | 등록일 2022.09.18 | 수정일 2023.01.03
  • 워드파일 중앙대학교 전자전기공학부 2학년 2학기 전기회로설계실습 5.Oscilloscope 예비보고서 A+보고서
    전기회로 설계 및 실습 계획서 5.Oscilloscope 1. 목적 Oscilloscope의 동작원리를 이해하고 사용방법을 익힌다. 2. ... 둘째는 두 신호 사이의 상관관계를 화면에 표시하는 기능이다. 3-1 동작원리 Oscilloscope는 CRT, horizontal amplifier, vertical amplifier ... Oscilloscope는 전압신호의 파형을 화면에 나타내므로 BNC connector에 인가된 전압에 의해 oscilloscope의 내부저항에 걸리는 전압이 화면에 나타난다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.09.10
  • 한글파일 비선형연산증폭기 결과보고서
    실험 목적 1) 비교기(comparator)의 동작 원리를 이해한다. 2) 능동 반파 정류기(active half-wave rectifier)의 출력을 살펴본다. 3) 능동 피크 검출기 ... 반파정류기 그림의 회로를 연결하고 오실로스코프를 출력단 10k OMEGA 저항에 연결한다. ... 즉, 입력이 정전압일 경우 다이오드가 동작하며, 부전압일 경우 다이오드가 차단되는 동작을 통해 반파 정류기로 동작하는 것을 확인할 수 있다. ?
    리포트 | 15페이지 | 5,000원 | 등록일 2020.04.02
  • 한글파일 전자회로실험 다단 증폭기 실험 (PSpice 첨부) 레포트
    출력 파형의 왜곡을 위하여 각 트랜지스터가 적절한 정적 동작점을 중심으로 동작하도록 바이어스 전압, 바이어스 전류를 공급할 필요가 있다. ... 여러 증폭기들을 종속 접속하여 설계한 다단 증폭기의 바이어스 방법, 각 단의 전압이득, 데시벨 전압이득 표현에 대해 실험을 통해 고찰한다. 2.2 실험 원리 ① 다단 증폭기의 이득 ... 측정 파형 (Vin ~ Q1) ⑤ 구간별 오실로스코프 측정 파형 (Q1 ~ Q2) ⑥ 구간별 오실로스코프 측정 파형 (Vin ~ Q2) ※ 실험 결과 설명 - 우선 그림 12-3의
    리포트 | 21페이지 | 3,000원 | 등록일 2022.11.20
  • 한글파일 실습 2. Switching Mode Power Supply (SMPS) 예비보고서
    실습목적 SMPS (Switching Mode Power Supply)의 동작 원리와 회로 모듈을 이해하여 SMPS를 설계할 수 있는 능력을 배양한다. 2-2. ... (Oscilloscope) : 1대 브레드보드 (Bread board) : 1개 파워서플라이 (Power supply) : 1대 함수발생기 (Function generator) : ... 5.1kΩ, 1/2W, 5% : 5개 저항 10Ω, 1/2W, 5% : 2개 가변저항 10kΩ : 2개 Diode SB540 : 2개 Inverter 74HC04 : 1개 사용장비 오실로스코프
    리포트 | 4페이지 | 2,000원 | 등록일 2022.09.19
  • 한글파일 JK 플립플롭
    오실로스코프 모두 사용이 가능하다면 2a와 2b 모두를 실행하여라. 1. 토글 동작이 되도록 J-K 플립플롭을 구성하여라. ... 이것은 저번 실험인 실험 14 D래치와 D플립플롭에서 선두 에지(Leading edge)와 같은 원리 가는 순간 입력이 출력에 반영된다. ... 이는 차고의 자동문 스위치 동작, 즉 차고 문이 열려있을 때 버튼을 누르면 문은 닫히고, 문이 닫혀 있을 때 버튼을 누르면 문이 열리는 동작과 같다.
    리포트 | 18페이지 | 4,000원 | 등록일 2021.10.13
  • 워드파일 A+ 받을 수 있는 중앙대학교 전기회로설계실습 설계실습 6. 계측장비 및 교류전원의 접지상태의 측정방법설계
    이는 오실로스코프의 접지 단자로 인해 발생되며 이를 잘 이해해야 오실로스코프로 직렬 연결된 저항의 전압을 이해하며 동작 원리를 이해할 수 있다. 2. ... 따라서 만약 전압의 함수가 시간에 따라 존재하면 그 함수에 -부호를 붙인 것과 동일하다. 4.5 Oscilloscope의 접지의 이해 오실로스코프를 초기조정하라. ... 계측장비 및 교류전원의 접지상태의 측정방법설계 요약 : DMM, Oscilloscope, 함수발생기의 접지상태와 내부연결, 입력저항을 고려하여 이들의 정확한 사용법을 익혔다.
    리포트 | 14페이지 | 1,000원 | 등록일 2023.09.04
  • 한글파일 A+ 정보통신실험 3주차 결과보고서 - 푸시풀 전력 증폭 회로
    비고 및 고찰 이번 실험은 푸시풀 전력 증폭회로를 구성하여 푸시풀 증폭기의 동작원리를 알아보고 트랜지스터의 동작점(바이어스점)과 AC신호의 크기 및 위상을 측정하여 비교하는데 목적이 ... 일단 오실로스코프로 통하여 V _{BE}를 측정해야 하지만 오실로스코프로는 DC전압이 측정되지 않았다. 오실로스코프에 DC전압이 감지되었다는 표시는 나왔지만 값이 나오지 않았다. ... 연결선이 잘못된 것으로 가정하고 교류신호를 넣고 오실로스코프의 파형을 관찰하였는데 정상적으로 정현파 신호가 측정되었다.
    리포트 | 10페이지 | 1,500원 | 등록일 2024.02.05
  • 한글파일 다이오드 특성 및 반파정류회로 실험 결과 레포트,전파정류회로 및 캐패시터 필터회로 실험 예비 레포트
    다이오드의 응용회로인 전파정류회로와 캐패시터 필터회로의 동작원리와 출력파형을 실험적으로 확인한다. 2.2 실험원리 학습실 2.2.1 전파정류기 먼저 중간 탭 방식의 전파정류기에 대해 ... 0.67 순방향 바이어스 실험 사진 역방향 바이어스 실험 사진 반파정류기의 입출력파형 검토 및 고찰 이번 실험은 반도체 다이오드의 전압과 전류 특성을 실험으로 확인해보고 반파정류회로의 동작원리와 ... 오실로스코프 1대 ? 저항 1kΩ, 2kΩ 각 1개 ? 다이오드 1N914 7개 ? 디지털 멀티미터 1대 ?
    리포트 | 8페이지 | 2,000원 | 등록일 2022.10.31
  • 워드파일 TIMER 기초 실험 예비보고서
    그렇다면 주프로그램은 정지되어 있지만 지정된 주기에 따라 다른 여러 함수의 실행이 가능하여 멀티태스킹과 같은 효과를 줄 수 있다. (3) 타이머가 동작하는 원리를, LED가 1 초마다 ... 개요 아두이노에서 지원하는 타이머 기능과 관련된 기초적인 실험을 해보고 작동 원리를 이해한 후 멀티태스킹을 위한 용용 방법에 관해 학습한다. 2. ... 실험 기기 랩톱 PC, 아두이노 우노 보드, 브래드보드, 전선, 저항, 스위치, LED, 오실로스코프 4. 예비 보고서 (1) 시간 측정을 위에 타이머를 사용하는 대신.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.03.31 | 수정일 2021.04.08
  • 한글파일 디지털 회로 실험-시프트 카운터
    목적 -링 카운터의 동작원리와 특성을 익힌다. -존슨 카운터의 동작원리와 특성을 익힌다. 2. ... 링 카운터와 존슨카운터의 동작을 확인했지만 오실로스코프로 파형을 확인하지 못해서 약간 아쉬웠지만 좋은 시간이었다. ... 이번실험은 링 카운터와 존슨 카운터의 동작원리와 특성을 이해하고 링 카운터와 존슨 카운터의 회로를 실제로 만들어 동작해보는 실험이었다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.09.10
  • 한글파일 회로실험I 예비보고서 - 함수발생기 및 오실로스코프 사용법
    아날로그형과 같은 원리동작하나, 디지털 회로가 추가되어 여러 가지 편리하고 강력한 부가적 기능이 내장 - 오실로스코프를 사용하여 신호의 파형, 크기, 주기 신호의 주파수 등을 ... - 1.05[MHz] (4) 오실로스코프에서 10:1 프로브를 사용하는 이유는 무엇인가? - 부하에 대한 영향을 줄이려고 ... 함수발생기 및 오실로스코프 사용법 함수발생기(Function generator) - 정현파, 펄스파, 톱니파, 삼각파 등의 신호 전압을 발생하는 장치로 전기 회로 실험에 널리 쓰이는
    리포트 | 2페이지 | 1,500원 | 등록일 2019.05.13 | 수정일 2020.05.06
  • 워드파일 광운대학교 전기공학과 1학년 실험1
    ◆관련 이론◆ 아날로그 오실로스코프의 동작 원리 "Cathode Ray Tube Oscilloscope"는 수직축으로 변화하는 신호가 입력되면 "AC/DC 커플링"에서 커플링되고 ... 디지털 오실로스코프의 동작 원리 입력부는 일반적인 아날로그 오실로스코프와 거의 동일한 구조로 되어 있고 A/D변환, 메모리부, 타이밍 발생부 및 제어부는 컴퓨터와 유사한 구조로 되어 ... 아날로그 멀티미터의 동작 원리 영구 자석 사이에 coil이 있고 코일 끝부분에 지침이 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.06.30
  • 워드파일 2. Switching Mode Power Supply (SMPS) 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    또한 교재 이론부에 있는 PWM 제어 회로의 구조가 각각 수행하는 기능과 두가지 종류의 converter 의 동작원리를 이해해야만 실습을 올바르게 진행할 수 있다. ... 실습 목적 - SMPS (Switching Mode Power Supply)의 동작 원리와 회로 모듈을 이해하여 SMPS를 설계할 수 있는 능력을 배양한다. 3. ... (Oscilloscope) 브레드보드(Bread board) 파워서플라이(Power supply) 함수발생기(Function generator) 점퍼선 1대 1개 1대 1대 다수 4
    리포트 | 8페이지 | 1,000원 | 등록일 2022.09.14 | 수정일 2023.01.03
  • 한글파일 (전자회로실험)소오스 팔로워 레포트
    소오스 팔로워, 공통게이트의 동작 원리를 살펴보고, 증폭기의 전압 이득 및 특성을 실험을 통해 확인하고자 한다. ... 실험기자재 및 부품DC 파워 서플라이, 디지털 멀티미터, 오실로스코프, 함수 발생기, M2N7000(NMOS), 저항, 커패시터배경이론1) 소오스 팔로워드레인 단자가 공통이므로, 공통
    리포트 | 9페이지 | 2,000원 | 등록일 2022.12.11
  • 워드파일 [전자공학응용실험]실험9 MOSFET 기본특성, 실험10 MOSFET 바이어스 회로_예비레포트(A+)
    예비 보고 사항 ① 실험9 1) NMOS와 PMOS의 기본적인 동작 원리를 ... 목적 ① MOSFET의 기본적인 동작 원리를 살펴보고, 전류-전압 특성 및 동작 영역을 실험을 통하여 확인한다. ② MOSFET을 이용한 증폭기의 DC 동작점을 잡아 주기 위한 바이어스 ... 오실로스코프는 시간 경과에 따른 전기 신호의 변화를 표시하며, 경우에 따라 소리 또는 진동과 같은 신호를 전압으로 변환하여 표시할 수도 있다. ④ 함수 발생기 함수 발생기는 다양한
    리포트 | 17페이지 | 1,500원 | 등록일 2023.02.07
  • 한글파일 21장 능동 다이오드 회로 실험
    이 회로의 동작원리는 다음과 같다. ... 능동 다이오드 회로 실험 21.1 실험 목적 - 본 실험은 연산증폭기를 이용한 반파정류, 전파정류회로 및 피크값 검출회로들의 동작원리를 이해하고 이를 실험적으로 확인한다. 21.2 ... 부른다. (2) 비포화 능동 반파정류회로 그림 21-1의 회로와는 달리 연산증폭기를 포화시키지 않으면서 반파정류작용을 할 수 있는 회로를 그림 21-2에 도시하였으며 이 회로의 동작원리
    리포트 | 3페이지 | 1,000원 | 등록일 2020.12.19
  • 한글파일 광운대학교 전기공학실험 실험1. 기본 논리게이트 결과레포트 [참고용]
    실험 개요 AND, OR, NOT, NAND, NOR, XOR 게이트의 기본 동작 원리 논리함수를 이해하고 실제 사용되는 기본 논리게이트 IC에 대해 익힌다. ... 알 수 있다. 9) 7404 게이트 입력단에 함수발생기의 펄스출력을 인가하고 10KHz로 설정한 다음 오실로스코프로 출력파형을 관측한다. ... 이때 오실로스코프의 시간축을 좌우로 크게 늘여 출력파형이 상승하는 부분과 하강하는 부분을 관측 파형의 모양을 그리고 상승시간과 하강시간을 각각 측정한다.
    리포트 | 12페이지 | 1,500원 | 등록일 2023.12.29 | 수정일 2024.01.06
  • 한글파일 패러데이 법칙 실험 A+
    실험목표 · 오실로스코프의 원리와 작동방법을 이해한다. · 자기 선속의 변화에 따른 유도기전력의 변화가 어떻게 되는지 이해하고 전동기가 패러데이의 전자기 유도 법칙으로부터 이끌어 졌음을 ... CH 1,X IN 콘넥터 : 입력신호를 CH1 증폭부로 연결하거나 X-Y 동작시 X축 신호가 된다.   10. ... 유도전동기는 둘째 방법은 변화하는 자계 속에 도체를 두었을 때 전자기 유도의 법칙에 따라 도체에 전류가 흘러 먼저와 같은 원리로 돌림힘이 발생하는 것을 이용하는 것이다.
    리포트 | 9페이지 | 3,000원 | 등록일 2019.12.23 | 수정일 2020.05.10
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업