• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,210)
  • 리포트(4,837)
  • 시험자료(157)
  • 자기소개서(104)
  • 방송통신대(70)
  • 서식(19)
  • 논문(8)
  • ppt테마(7)
  • 표지/속지(6)
  • 이력서(1)
  • 기업보고서(1)

"시계 디자인" 검색결과 141-160 / 5,210건

  • 파워포인트파일 경영학개론-글로벌기업사례연구(루이비통)
    루이비통의 제품 2) 시계 시계 카테고리의 경우 루이 비통의 6 대손인 베누아 루이 비통이 총책임자이다 . 다만 가성비가 매우 안좋다는 평을 받고 있다 . 7 3. ... 생각하는 기업 3 ) 에너지 효율 개선과 탄소 의존적 운송 지양 2004 년부터 제품 탄생 시 발생하는 연간 탄소 배출량 측정 온실가스 배출량 29% 감소 성공 4) 디스플레이의 에코 디자인 ... 평가 LVMH ( 루이비통 모엣 헤네시 ) 라는 초대형 시계 / 의류 / 주류 그룹의 자회사인데 역시 이 LVMH 의 자회사인 모엣 샹동에서는 퀴베 돔 페리뇽 이라는 샴페인
    리포트 | 14페이지 | 2,500원 | 등록일 2023.10.11
  • 한글파일 생활속의디자인 A+ 중간고사 레포트 ( 공공미술(디자인)에 대하여)
    [사진8-1]시티투어터미널[사진8-2]서울시티투어 터미널[사진9]전국체전 카운트다운 시계탑 이번 공공디자인 과제물을 수행하기 위하여 서울시청사 및 광화문 주변을 살펴보기로 결정한 것은 ... 은 일반버스정류장과 달리 밝은 백색 컬러와 컬러 미러 스테인리스 소재를 사용해 사람들의 호기심을 유발하고 시각적 즐거움을 선사하고 있었으며, 시울시청사 잔디광장 앞의 ‘카운트다운 시계탑 ... 중간 REPORT 제 목 : 공공미술(디자인)에 대하여 ◎ 담당교수 ◎ 학 과 ◎ 학 년 ◎ 학 번 ◎ 이 름 ◎ 제출일자 : 2019.10.10 “공공디자인이란, 사전적 의미로 사적
    리포트 | 5페이지 | 2,000원 | 등록일 2020.09.23
  • 파일확장자 조선대학교 2023 문화 속 디자인 여행 1주차부터 14주차 교양
    정보전달성 : 나이키 에어맥스 박스, 데미지 저하, 페스티나 시계(2중 패키지) 4. ... 문화 속 디자인 여행 01. 디자인의 주체, 디자인의 정의, 디자인 영역의 확장 디자인이란 무엇인가? ... 이벤트 / 게임 / 애니메이션 / 유명인 패키지 디자인, 포스터 디자인, 인포 그래픽 디자인, 광고 디자인 시각 디자인?
    시험자료 | 19페이지 | 5,000원 | 등록일 2023.08.04 | 수정일 2024.03.25
  • 한글파일 맥락디자인(Contextual design), 소통디자인(Communicative design), 참여디자인(Participative design), 통합디자인(Integrated design), 지속가능디자인(Sustainable design), 유니버설디자인(Universal design), 서비스디자 할인자료
    세 번째는 보면 알 수 있듯이 시계이다. ... 이것도 시각장애인을 위한 것이라고 볼 수 있고, 휴대전화를 이용하면서도 시간이나 이러한 것들을 귀로만 듣는 것이 아니라 시계로 바로 확인할 수 있는 편리함을 가지고 있다. Ⅲ 결론 ... 디자인 맥락디자인(Contextual design), 소통디자인(Communicative design), 참여디자인(Participative design), 통합디자인(Integrated
    리포트 | 8페이지 | 4,700원 (5%↓) 4465원 | 등록일 2020.08.18
  • 한글파일 사회복지[사회복지조사론]-실험설계의 유형을 제시하고, 각각의 특성을 예를 들어 서술해 봅시다.
    종류에는 2집단 사전사후 실험조사설계, 시계열 실험조사설계, 반복실험조사설계 등이 있다. 1) 2집단 사전사후 실험설계 실험단위를 무작위화하여 실험집단과 통제집단을 나누는 과정을 거치지 ... 타당성이 떨어진다는 한계점을 가지고 있으나 실험대상을 무작위로 뽑거나 외생변수를 제거 또는 통제하는 것이 어려운 상황에서 활용이 높아 사회복지현장에서 실제 자주 활용되고 있다. 2) 시계열 ... 이러한 순수 실험 디자인은 통제집단 사전사후 실험설계, 통제집단 사후 실험설계, 솔로몬 4집단실험설계 등으로 나누어 볼 수 있다. 1) 통제집단 사전사후 실험설계 실험 단위를 무작위과정을
    리포트 | 4페이지 | 2,000원 | 등록일 2023.08.25
  • 워드파일 소비자 의사결정과정과 행동에 영향을 미치는 요소를 정리하시고, 소비자로서 각자의 경험과 사례를 함께 정리하세요.
    헬스케어와 손목시계를 한 번에 수행할 수 있는 시계 이용자와 이어폰 없이 대화가 가능한 시계 이용자의 편리함을 보고 구매 욕구와 동기를 느끼게 됐다고 가정한다. ... 호환성과 기능, 디자인, 가격, 필요한 기능, 상표에 관한 신념을 고려하여 구매 브랜드와 제품 구매 경쟁 대안들을 작성한다.
    리포트 | 3페이지 | 3,000원 | 등록일 2023.03.08
  • 한글파일 경영의 효율성과 효과성
    예를 들면, 삼성시계에서 만일 그들이 노동력과 원재료의 투입비용을 무시하고 시계를 만든다면, 현재보다 더 정확하고 더 매력적인 시계를 만들 수 있다. ... 예를 들면, 현대자동차에서 자동차를 아무리 효율적으로 생산을 했다 하더라도 차의 디자인이나 색상이 현대 감각에 뒤지는 것이라면, 소비자들로부터 현대자동차는 외면을 당해 판매목표를 달성하기는 ... /추교완 외 1명 저, 피앤씨미디어, 2013 2018 재미있는 경영학 워크북 - 최중락 저, 상경사, 2018 조직문화가 전략을 살린다 : 안근용, 조원규 외 1명 저 / 플랜비디자인
    리포트 | 2페이지 | 2,000원 | 등록일 2020.01.16
  • 한글파일 쿤스트 하우스 - 피터쿡
    이는 기존의 콘텍스트를 깨는 이질적인 디자인의 형태가 아니라 주변을 존중하는 디자인으로 볼 수 있다. ... 세 번째는 지붕의 촉수는 건물 내부에서 그라츠의 상징인 시계탑을 볼 수 있도록 하여 도시와 소통시키고자 하였다. ... 또한 조감 시점에서는 고전건물을 감싸는 형태로 주변과 소통하는 디자인으로 볼 수 있다.
    리포트 | 7페이지 | 2,500원 | 등록일 2022.08.03
  • 파일확장자 합격자 수도권매립지관리공사 계약직 청년인턴 체험형인턴면접 자기소개서 작성성공패턴 인적성검사 직무계획서작성견본 지원동기작성요령
    그 외에도 리처드 프린스 등의 거물 현대 미술가들의작품에서 영감받은 디자인들로 제품에 위트를 더하고 있다. ... LVMH(루이 비통모엣 헤네시)라는 초대형 시계/의류/주류 그룹의 자회사인데 역시 이LVMH의 자회사인 모엣 샹동에서는 퀴베 돔 페리뇽이라는 샴페인도 만들고 있으며 헤네시는 김정일이
    자기소개서 | 158페이지 | 6,900원 | 등록일 2022.02.13
  • 한글파일 [화가소개] 평범함을 거부한 천재 화가, 살바도르 달리
    그리고 우리가 잘 알고 있는 츄파춥스의 시그니처 디자인인 데이지 꽃 모양 역시 살바도르 달리의 작품입니다. ... 작품 속에는 녹아내리는 시계가 여기 저기 널브러져 있고, 엎어져 있는 회중시계에는 개미가 들끓고 있네요. ... “내 시계가 어디 있지?” 그의 대표작 이라는 제목처럼, 그는 녹아내리는 시계와 함께 눈을 감고 영원히 존재하고 있지 않을까요?
    리포트 | 3페이지 | 2,500원 | 등록일 2021.12.14
  • 한글파일 A+체형의 특징과 단점을 파악한 의상 코디네이션 방법 제시
    분할감을 강조하기 위해서는 허리를 조이는 디자인을 선택하고 색채가 풍부한 디자인을 선택하는 것도 중요합니다. 2) 좁은 어깨를 보완하는 코디네이션 기법 좁은 어깨를 가리는 스타일링 ... 팔이 가늘기 때문에 퍼프소매 긴팔이나 4분의 3 길이를 강조하면 전체적으로 여위고 여성스러운 느낌을 줄 수 있으며 손목에 화려한 팔찌를 차고 액세서리로 패션시계를 착용해 포인트를 주는 ... 방법은 부피가 큰 소재나 어깨선, 어깨길이, 퍼프소매 디자인을 선택하는 것이 좋습니다.
    리포트 | 5페이지 | 2,500원 | 등록일 2023.03.09
  • 한글파일 전기자동차, 휴대폰 등 각종 제품이나 서비스 중 하나를 골라서 효과적인 마케팅 믹스를
    스마트 워치는 기존에는 높은 가격에 비해서 디자인은 유려하지 않고 기능도 제한적이어서 많은 소비자들의 관심을 받지 못했기도 하다. ... 스마트 워치는 애플이 아이폰을 출시한 이후에 스마트폰을 포함하여 스마트 디바이스라는 말이 대중화되었으며 2010년 이후에는 시계에 여러 가지 기능을 추가한 신개념의 시계를 통칭하는 ... 먼저 제품과 관련해서는 소비자들이 가지고 있는 다양한 니즈를 충족시킬 수 있는 다채로운 제품과 디자인, 이미지 등을 광범위하게 다루는 것이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2022.02.07 | 수정일 2022.02.09
  • 파워포인트파일 [마케팅] STP 전략 관점에서 본 애플의 아이폰 마케팅 전략, 대학교 과제 A+
    Research 애플 매출 출처 : 애플 코리아 기타 이미지 출처 : 구글 , 애플 코리아 한국갤럽조사연구소 “ 2012-2019 스마트폰 사용률의록 , 브랜드 , 스마트워치 , 손목시계에 ... 디지털디자인학연구, 14(1), 97-106. ... 감성 디자인 추구 ? 주변과의 유대감 추구 ? 등 3.
    리포트 | 21페이지 | 2,500원 | 등록일 2024.01.14
  • 한글파일 사회복지조사론_실험조사설계의 특성과 실험설계의 유형인 순수실험설계 유사실험설계 전실험설계를 비교설명하시오.
    단순시계열설계, 복수 시계열 설계, 비 동일 통제집단 설계가 있다. ... 복수 시계열 설계는 단순 시계열의 우연한 사건에 의한 내적인 타당도의 문제점 개선을 위한 단순 시계열 설계에 통제집단을 추가한 설계이다. ... 마지막으로 연구 대상을 두 집단으로 분리할 때 집단 간의 차이가 작도록 무작위적인 방식으로 배분을 해야 한다. 5) 순수 실험 설계 다른 용어로는 실험디자인이라고 한다.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.02.04
  • 한글파일 체형의 특징과 단점을 파악한 의상 코디네이션 방법 제시
    허리를 묶는 디자인을 선택하여 분절감을 강조하고 화려한 디자인을 선택하는 것도 포인트가 된다. 2) 좁은 어깨를 보완하는 코디네이션 기법 좁은 어깨를 커버하는 코디 방법은 부피감 있는 ... 소재나 어깨선이 살아 있는 디자인, 견장, 퍼프소매 등을 선택한다. ... 손목에 화려한 팔찌를 하거나 패션시계를 액세서리로 착용하여 포인트를 준다. 3) 굵은 허벅지를 보완하는 코디네이션 기법 하체가 통통한 경우에는 미니스커트나 H라인 스커트보다 A라인
    리포트 | 4페이지 | 2,000원 | 등록일 2023.01.19 | 수정일 2023.01.20
  • 한글파일 서강대학교 일반대학원 컴퓨터공학과 연구계획서
    저는 서강대 컴퓨터공학과 랩에서 안전하고 효과적인 자율주행을 위한 불확실성 순차 모델링 연구, 유전 알고리즘을 위한 그래프의 2차원 임베딩 연구, 소프트웨어 디자인 패턴을 적용한 실시간 ... TCP Congestion Window의 효율적 동작방안에 관한 연구, 다감각 매칭 및 다중 스펙트럼 리콜 메모리를 갖춘 다목적 보행자 감지기를 향한 연구, 동조화 관계를 갖는 시계열을
    자기소개서 | 1페이지 | 3,800원 | 등록일 2023.09.18
  • 한글파일 레고(LEGO)의 성공 전략
    하지만 아이들이 레고블록을 좋아한다고 해서 레고블록 디자인으로 만든 시계나 옷까지 좋아할 것이라는 보장은 없다. ... 먼저 지속적으로 적자를 내던 레고랜드 지분의 70%를 블랙스톤그룹에 매각하고, 아동복, 시계, 출판, 영화, 게임 등은 라이센스 방식으로 전환하였다. ... 레고는 이러한 디자인 사고방식을 바탕으로 디자인 중심의 D4B(Design for Business) 프로세스를 구현하였다.
    리포트 | 8페이지 | 2,000원 | 등록일 2020.11.26
  • 한글파일 미래의 경영조직형태(모래시계형 조직, 꽃송이형 조직, 네트워크형 조직)
    의사소통의 실제 / 이광자 저 / 신광출판사 / 2019 조직문화 통찰 : 김성준 저 / 클라우드 나인 / 2019 조직문화가 전략을 살린다 : 안근용, 조원규 외 1명 저 / 플랜비디자인 ... 미래의 경영조직형태(모래시계형 조직, 꽃송이형 조직, 네트워크형 조직) 목차 미래의 경영조직형태 I. 모래시계형 조직 II. 꽃송이형 조직 III. ... 모래시계형 조직 모래시계와 같이 조직의 중간부분이 홀쪽하게 줄어든 모양의 조직이다. 이런 모양을 갖출 수 있는 이유는 정보기술의 발전이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.01.06
  • 한글파일 미래사회와유아교육 ) 크로마키 앱을 활용하여 실습한 사진을 제시하시오. 디자인씽킹 접근방법중 스탠포드 디스쿨 모델에 기초하여 생활 속 문제해결방법을 적으시오. 할인자료
    와이파이와 인공모듈 칩을 소파, 장난감, 로봇, TV, 시계, 인형, 공 등등에 설치하여 부모가 없을 때 아동의 말에 물건들이 응답해주는 시스템을 구현하는 것이다. ... “디자인씽킹 접근방법”중 스탠포드 디스쿨 모델에 기초하여 생활 속 문제해결방법을 적으시오. 3. ... “디자인씽킹 접근방법”중 스탠포드 디스쿨 모델에 기초하여 생활 속 문제해결방법을 적으시오. 3.
    방송통신대 | 8페이지 | 5,000원 (5%↓) 4750원 | 등록일 2022.08.02
  • 한글파일 (마케팅특강) 우리 주변에서 외부고객 문화마케팅의 성공사례를 찾아 제시하고, 그 특징과 효과를 분석한 후 시사점을 도출하시오
    시계를 좋아해서 목돈이 생기면 가끔 시계를 구입할 때가 있는데 이때에는 온라인으로 각 판매처 홈페이지나 앱에 접속해서 제품의 가격과 색상, 디자인을 비교해 본 후에 가장 적당한 제품을 ... 아이폰은 감각적인 디자인과 스크린의 터치를 통해 구동되는 색다른 방법으로 많은 인기를 끌었다. 애플이 아이폰을 출시하자 기존의 업체들의 반응은 제각기 달랐다.
    방송통신대 | 6페이지 | 3,000원 | 등록일 2021.08.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업